Browse Source

Added PD-Buddy v0.1 PCB

Tested to probably not blow anything up if assembled properly!
Clara Hobbs 7 years ago
parent
commit
3d023bfa4d
15 changed files with 5276 additions and 0 deletions
  1. 3
    0
      fp-lib-table
  2. 703
    0
      microcontroller.sch
  3. 271
    0
      output.sch
  4. 484
    0
      pd-buddy-cache.lib
  5. 23
    0
      pd-buddy.csv
  6. 37
    0
      pd-buddy.dcm
  7. 2436
    0
      pd-buddy.kicad_pcb
  8. 185
    0
      pd-buddy.lib
  9. 44
    0
      pd-buddy.pretty/Amphenol-12401548E4#2A.kicad_mod
  10. 39
    0
      pd-buddy.pretty/MLP-14.kicad_mod
  11. 118
    0
      pd-buddy.pro
  12. 229
    0
      pd-buddy.sch
  13. 278
    0
      pd_phy.sch
  14. 240
    0
      usb_type-c.sch
  15. 186
    0
      voltage_regulator.sch

+ 3
- 0
fp-lib-table View File

@@ -0,0 +1,3 @@
1
+(fp_lib_table
2
+  (lib (name pd-buddy)(type KiCad)(uri "$(KIPRJMOD)/pd-buddy.pretty")(options "")(descr ""))
3
+)

+ 703
- 0
microcontroller.sch View File

@@ -0,0 +1,703 @@
1
+EESchema Schematic File Version 2
2
+LIBS:power
3
+LIBS:device
4
+LIBS:transistors
5
+LIBS:conn
6
+LIBS:linear
7
+LIBS:regul
8
+LIBS:74xx
9
+LIBS:cmos4000
10
+LIBS:adc-dac
11
+LIBS:memory
12
+LIBS:xilinx
13
+LIBS:microcontrollers
14
+LIBS:dsp
15
+LIBS:microchip
16
+LIBS:analog_switches
17
+LIBS:motorola
18
+LIBS:texas
19
+LIBS:intel
20
+LIBS:audio
21
+LIBS:interface
22
+LIBS:digital-audio
23
+LIBS:philips
24
+LIBS:display
25
+LIBS:cypress
26
+LIBS:siliconi
27
+LIBS:opto
28
+LIBS:atmel
29
+LIBS:contrib
30
+LIBS:valves
31
+LIBS:74xgxx
32
+LIBS:ac-dc
33
+LIBS:actel
34
+LIBS:allegro
35
+LIBS:Altera
36
+LIBS:analog_devices
37
+LIBS:battery_management
38
+LIBS:bbd
39
+LIBS:brooktre
40
+LIBS:cmos_ieee
41
+LIBS:dc-dc
42
+LIBS:diode
43
+LIBS:elec-unifil
44
+LIBS:ESD_Protection
45
+LIBS:ftdi
46
+LIBS:gennum
47
+LIBS:graphic
48
+LIBS:hc11
49
+LIBS:ir
50
+LIBS:Lattice
51
+LIBS:logo
52
+LIBS:maxim
53
+LIBS:mechanical
54
+LIBS:microchip_dspic33dsc
55
+LIBS:microchip_pic10mcu
56
+LIBS:microchip_pic12mcu
57
+LIBS:microchip_pic16mcu
58
+LIBS:microchip_pic18mcu
59
+LIBS:microchip_pic32mcu
60
+LIBS:motor_drivers
61
+LIBS:motors
62
+LIBS:msp430
63
+LIBS:nordicsemi
64
+LIBS:nxp_armmcu
65
+LIBS:onsemi
66
+LIBS:Oscillators
67
+LIBS:powerint
68
+LIBS:Power_Management
69
+LIBS:pspice
70
+LIBS:references
71
+LIBS:relays
72
+LIBS:rfcom
73
+LIBS:sensors
74
+LIBS:silabs
75
+LIBS:stm32
76
+LIBS:stm8
77
+LIBS:supertex
78
+LIBS:switches
79
+LIBS:transf
80
+LIBS:ttl_ieee
81
+LIBS:video
82
+LIBS:wiznet
83
+LIBS:Worldsemi
84
+LIBS:Xicor
85
+LIBS:zetex
86
+LIBS:Zilog
87
+LIBS:pd-buddy
88
+LIBS:pd-buddy-cache
89
+EELAYER 25 0
90
+EELAYER END
91
+$Descr A4 11693 8268
92
+encoding utf-8
93
+Sheet 3 6
94
+Title "PD Buddy"
95
+Date ""
96
+Rev "0.1"
97
+Comp ""
98
+Comment1 ""
99
+Comment2 ""
100
+Comment3 ""
101
+Comment4 ""
102
+$EndDescr
103
+$Comp
104
+L STM32F072CBTx U2
105
+U 1 1 588FD426
106
+P 5900 3000
107
+F 0 "U2" H 2200 4825 50  0000 L BNN
108
+F 1 "STM32F072CBTx" H 9600 4825 50  0000 R BNN
109
+F 2 "Housings_QFP:LQFP-48_7x7mm_Pitch0.5mm" H 9600 4775 50  0000 R TNN
110
+F 3 "" H 5900 3000 50  0000 C CNN
111
+	1    5900 3000
112
+	1    0    0    -1  
113
+$EndComp
114
+Text HLabel 10000 4100 2    60   BiDi ~ 0
115
+D+
116
+Text HLabel 10000 4000 2    60   BiDi ~ 0
117
+D-
118
+$Comp
119
+L SW_SPDT SW1
120
+U 1 1 589013E6
121
+P 1100 1350
122
+F 0 "SW1" H 1100 1520 50  0000 C CNN
123
+F 1 "BOOT" H 1100 1150 50  0000 C CNN
124
+F 2 "Buttons_Switches_SMD:SW_SPDT_PCM12" H 1100 1350 50  0001 C CNN
125
+F 3 "" H 1100 1350 50  0000 C CNN
126
+	1    1100 1350
127
+	0    -1   -1   0   
128
+$EndComp
129
+$Comp
130
+L R R2
131
+U 1 1 5890164A
132
+P 1550 1800
133
+F 0 "R2" V 1630 1800 50  0000 C CNN
134
+F 1 "10kΩ" V 1550 1800 50  0000 C CNN
135
+F 2 "Resistors_SMD:R_0805" V 1480 1800 50  0001 C CNN
136
+F 3 "" H 1550 1800 50  0000 C CNN
137
+	1    1550 1800
138
+	0    1    1    0   
139
+$EndComp
140
+$Comp
141
+L +3.3V #PWR06
142
+U 1 1 5890170D
143
+P 1200 1150
144
+F 0 "#PWR06" H 1200 1000 50  0001 C CNN
145
+F 1 "+3.3V" H 1200 1290 50  0000 C CNN
146
+F 2 "" H 1200 1150 50  0000 C CNN
147
+F 3 "" H 1200 1150 50  0000 C CNN
148
+	1    1200 1150
149
+	1    0    0    -1  
150
+$EndComp
151
+$Comp
152
+L GND #PWR07
153
+U 1 1 58901727
154
+P 700 1150
155
+F 0 "#PWR07" H 700 900 50  0001 C CNN
156
+F 1 "GND" H 700 1000 50  0000 C CNN
157
+F 2 "" H 700 1150 50  0000 C CNN
158
+F 3 "" H 700 1150 50  0000 C CNN
159
+	1    700  1150
160
+	1    0    0    -1  
161
+$EndComp
162
+$Comp
163
+L GND #PWR08
164
+U 1 1 58901B1C
165
+P 5700 5000
166
+F 0 "#PWR08" H 5700 4750 50  0001 C CNN
167
+F 1 "GND" H 5700 4850 50  0000 C CNN
168
+F 2 "" H 5700 5000 50  0000 C CNN
169
+F 3 "" H 5700 5000 50  0000 C CNN
170
+	1    5700 5000
171
+	1    0    0    -1  
172
+$EndComp
173
+$Comp
174
+L +3.3V #PWR09
175
+U 1 1 5891514A
176
+P 2000 2000
177
+F 0 "#PWR09" H 2000 1850 50  0001 C CNN
178
+F 1 "+3.3V" H 2000 2140 50  0000 C CNN
179
+F 2 "" H 2000 2000 50  0000 C CNN
180
+F 3 "" H 2000 2000 50  0000 C CNN
181
+	1    2000 2000
182
+	1    0    0    -1  
183
+$EndComp
184
+$Comp
185
+L +3.3V #PWR010
186
+U 1 1 58915182
187
+P 5700 900
188
+F 0 "#PWR010" H 5700 750 50  0001 C CNN
189
+F 1 "+3.3V" H 5700 1040 50  0000 C CNN
190
+F 2 "" H 5700 900 50  0000 C CNN
191
+F 3 "" H 5700 900 50  0000 C CNN
192
+	1    5700 900 
193
+	1    0    0    -1  
194
+$EndComp
195
+$Comp
196
+L C C3
197
+U 1 1 58915349
198
+P 1550 1600
199
+F 0 "C3" H 1575 1700 50  0000 L CNN
200
+F 1 "0.1μF" H 1575 1500 50  0000 L CNN
201
+F 2 "Capacitors_SMD:C_0805" H 1588 1450 50  0001 C CNN
202
+F 3 "" H 1550 1600 50  0000 C CNN
203
+	1    1550 1600
204
+	0    -1   -1   0   
205
+$EndComp
206
+$Comp
207
+L GND #PWR011
208
+U 1 1 58915422
209
+P 1300 1600
210
+F 0 "#PWR011" H 1300 1350 50  0001 C CNN
211
+F 1 "GND" H 1300 1450 50  0000 C CNN
212
+F 2 "" H 1300 1600 50  0000 C CNN
213
+F 3 "" H 1300 1600 50  0000 C CNN
214
+	1    1300 1600
215
+	1    0    0    -1  
216
+$EndComp
217
+$Comp
218
+L +3.3V #PWR012
219
+U 1 1 58916B17
220
+P 1600 6000
221
+F 0 "#PWR012" H 1600 5850 50  0001 C CNN
222
+F 1 "+3.3V" H 1600 6140 50  0000 C CNN
223
+F 2 "" H 1600 6000 50  0000 C CNN
224
+F 3 "" H 1600 6000 50  0000 C CNN
225
+	1    1600 6000
226
+	1    0    0    -1  
227
+$EndComp
228
+$Comp
229
+L GND #PWR013
230
+U 1 1 58916B2E
231
+P 1600 6700
232
+F 0 "#PWR013" H 1600 6450 50  0001 C CNN
233
+F 1 "GND" H 1600 6550 50  0000 C CNN
234
+F 2 "" H 1600 6700 50  0000 C CNN
235
+F 3 "" H 1600 6700 50  0000 C CNN
236
+	1    1600 6700
237
+	1    0    0    -1  
238
+$EndComp
239
+$Comp
240
+L C C4
241
+U 1 1 58916B45
242
+P 1600 6350
243
+F 0 "C4" H 1625 6450 50  0000 L CNN
244
+F 1 "0.1μF" H 1625 6250 50  0000 L CNN
245
+F 2 "Capacitors_SMD:C_0805" H 1638 6200 50  0001 C CNN
246
+F 3 "" H 1600 6350 50  0000 C CNN
247
+	1    1600 6350
248
+	1    0    0    -1  
249
+$EndComp
250
+$Comp
251
+L C C5
252
+U 1 1 58916CE3
253
+P 2000 6350
254
+F 0 "C5" H 2025 6450 50  0000 L CNN
255
+F 1 "0.1μF" H 2025 6250 50  0000 L CNN
256
+F 2 "Capacitors_SMD:C_0805" H 2038 6200 50  0001 C CNN
257
+F 3 "" H 2000 6350 50  0000 C CNN
258
+	1    2000 6350
259
+	1    0    0    -1  
260
+$EndComp
261
+$Comp
262
+L C C6
263
+U 1 1 58916D15
264
+P 2400 6350
265
+F 0 "C6" H 2425 6450 50  0000 L CNN
266
+F 1 "0.1μF" H 2425 6250 50  0000 L CNN
267
+F 2 "Capacitors_SMD:C_0805" H 2438 6200 50  0001 C CNN
268
+F 3 "" H 2400 6350 50  0000 C CNN
269
+	1    2400 6350
270
+	1    0    0    -1  
271
+$EndComp
272
+$Comp
273
+L C C7
274
+U 1 1 58916F18
275
+P 2800 6350
276
+F 0 "C7" H 2825 6450 50  0000 L CNN
277
+F 1 "0.1μF" H 2825 6250 50  0000 L CNN
278
+F 2 "Capacitors_SMD:C_0805" H 2838 6200 50  0001 C CNN
279
+F 3 "" H 2800 6350 50  0000 C CNN
280
+	1    2800 6350
281
+	1    0    0    -1  
282
+$EndComp
283
+$Comp
284
+L C C9
285
+U 1 1 58917041
286
+P 3600 6350
287
+F 0 "C9" H 3625 6450 50  0000 L CNN
288
+F 1 "1μF" H 3625 6250 50  0000 L CNN
289
+F 2 "Capacitors_SMD:C_0805" H 3638 6200 50  0001 C CNN
290
+F 3 "" H 3600 6350 50  0000 C CNN
291
+	1    3600 6350
292
+	1    0    0    -1  
293
+$EndComp
294
+$Comp
295
+L C C8
296
+U 1 1 5891738A
297
+P 3200 6350
298
+F 0 "C8" H 3225 6450 50  0000 L CNN
299
+F 1 "0.1μF" H 3225 6250 50  0000 L CNN
300
+F 2 "Capacitors_SMD:C_0805" H 3238 6200 50  0001 C CNN
301
+F 3 "" H 3200 6350 50  0000 C CNN
302
+	1    3200 6350
303
+	1    0    0    -1  
304
+$EndComp
305
+Text HLabel 1000 3900 0    60   Output ~ 0
306
+SCL
307
+Text HLabel 1000 4000 0    60   BiDi ~ 0
308
+SDA
309
+Text HLabel 1000 4100 0    60   BiDi ~ 0
310
+INT_N
311
+$Comp
312
+L R R4
313
+U 1 1 589246A0
314
+P 1500 3650
315
+F 0 "R4" V 1580 3650 50  0000 C CNN
316
+F 1 "2kΩ" V 1500 3650 50  0000 C CNN
317
+F 2 "Resistors_SMD:R_0805" V 1430 3650 50  0001 C CNN
318
+F 3 "" H 1500 3650 50  0000 C CNN
319
+	1    1500 3650
320
+	-1   0    0    1   
321
+$EndComp
322
+$Comp
323
+L R R3
324
+U 1 1 58924737
325
+P 1300 3650
326
+F 0 "R3" V 1380 3650 50  0000 C CNN
327
+F 1 "2kΩ" V 1300 3650 50  0000 C CNN
328
+F 2 "Resistors_SMD:R_0805" V 1230 3650 50  0001 C CNN
329
+F 3 "" H 1300 3650 50  0000 C CNN
330
+	1    1300 3650
331
+	-1   0    0    1   
332
+$EndComp
333
+$Comp
334
+L R R1
335
+U 1 1 5892476F
336
+P 1100 3650
337
+F 0 "R1" V 1180 3650 50  0000 C CNN
338
+F 1 "2kΩ" V 1100 3650 50  0000 C CNN
339
+F 2 "Resistors_SMD:R_0805" V 1030 3650 50  0001 C CNN
340
+F 3 "" H 1100 3650 50  0000 C CNN
341
+	1    1100 3650
342
+	-1   0    0    1   
343
+$EndComp
344
+$Comp
345
+L +3.3V #PWR014
346
+U 1 1 58924A22
347
+P 1100 3300
348
+F 0 "#PWR014" H 1100 3150 50  0001 C CNN
349
+F 1 "+3.3V" H 1100 3440 50  0000 C CNN
350
+F 2 "" H 1100 3300 50  0000 C CNN
351
+F 3 "" H 1100 3300 50  0000 C CNN
352
+	1    1100 3300
353
+	1    0    0    -1  
354
+$EndComp
355
+Text HLabel 2050 3700 0    60   Output ~ 0
356
+OUT_CTRL
357
+$Comp
358
+L SW_Push SW2
359
+U 1 1 589273B4
360
+P 6800 5850
361
+F 0 "SW2" H 6850 5950 50  0000 L CNN
362
+F 1 "Setup" H 6800 5790 50  0000 C CNN
363
+F 2 "Buttons_Switches_SMD:SW_SPST_SKQG" H 6800 6050 50  0001 C CNN
364
+F 3 "" H 6800 6050 50  0000 C CNN
365
+	1    6800 5850
366
+	0    1    1    0   
367
+$EndComp
368
+$Comp
369
+L +3.3V #PWR015
370
+U 1 1 58928192
371
+P 6800 5550
372
+F 0 "#PWR015" H 6800 5400 50  0001 C CNN
373
+F 1 "+3.3V" H 6800 5690 50  0000 C CNN
374
+F 2 "" H 6800 5550 50  0000 C CNN
375
+F 3 "" H 6800 5550 50  0000 C CNN
376
+	1    6800 5550
377
+	1    0    0    -1  
378
+$EndComp
379
+$Comp
380
+L R R5
381
+U 1 1 5892828B
382
+P 6550 6150
383
+F 0 "R5" V 6630 6150 50  0000 C CNN
384
+F 1 "10kΩ" V 6550 6150 50  0000 C CNN
385
+F 2 "Resistors_SMD:R_0805" V 6480 6150 50  0001 C CNN
386
+F 3 "" H 6550 6150 50  0000 C CNN
387
+	1    6550 6150
388
+	0    1    1    0   
389
+$EndComp
390
+$Comp
391
+L R R6
392
+U 1 1 589286AA
393
+P 6800 6400
394
+F 0 "R6" V 6880 6400 50  0000 C CNN
395
+F 1 "10kΩ" V 6800 6400 50  0000 C CNN
396
+F 2 "Resistors_SMD:R_0805" V 6730 6400 50  0001 C CNN
397
+F 3 "" H 6800 6400 50  0000 C CNN
398
+	1    6800 6400
399
+	1    0    0    -1  
400
+$EndComp
401
+$Comp
402
+L GND #PWR016
403
+U 1 1 5892882F
404
+P 6800 6750
405
+F 0 "#PWR016" H 6800 6500 50  0001 C CNN
406
+F 1 "GND" H 6800 6600 50  0000 C CNN
407
+F 2 "" H 6800 6750 50  0000 C CNN
408
+F 3 "" H 6800 6750 50  0000 C CNN
409
+	1    6800 6750
410
+	1    0    0    -1  
411
+$EndComp
412
+$Comp
413
+L C C10
414
+U 1 1 589288E4
415
+P 6300 6400
416
+F 0 "C10" H 6325 6500 50  0000 L CNN
417
+F 1 "0.1μF" H 6325 6300 50  0000 L CNN
418
+F 2 "Capacitors_SMD:C_0805" H 6338 6250 50  0001 C CNN
419
+F 3 "" H 6300 6400 50  0000 C CNN
420
+	1    6300 6400
421
+	1    0    0    -1  
422
+$EndComp
423
+NoConn ~ 2100 2200
424
+NoConn ~ 2100 2300
425
+NoConn ~ 2100 2500
426
+NoConn ~ 2100 2600
427
+NoConn ~ 2100 2700
428
+NoConn ~ 2100 2900
429
+NoConn ~ 2100 3000
430
+NoConn ~ 2100 3100
431
+NoConn ~ 2100 3300
432
+NoConn ~ 2100 3800
433
+NoConn ~ 2100 4200
434
+NoConn ~ 2100 4300
435
+NoConn ~ 2100 4400
436
+NoConn ~ 9700 2900
437
+NoConn ~ 9700 3000
438
+NoConn ~ 9700 3100
439
+NoConn ~ 9700 3200
440
+NoConn ~ 9700 3300
441
+NoConn ~ 9700 3400
442
+NoConn ~ 9700 3500
443
+NoConn ~ 9700 3600
444
+NoConn ~ 9700 3700
445
+NoConn ~ 9700 3800
446
+$Comp
447
+L CONN_02X05 P2
448
+U 1 1 5892D0FE
449
+P 4850 6200
450
+F 0 "P2" H 4850 6500 50  0000 C CNN
451
+F 1 "SWD" H 4850 5900 50  0000 C CNN
452
+F 2 "Pin_Headers:Pin_Header_Straight_2x05_Pitch1.27mm_SMD" H 4850 5000 50  0001 C CNN
453
+F 3 "" H 4850 5000 50  0000 C CNN
454
+	1    4850 6200
455
+	1    0    0    -1  
456
+$EndComp
457
+$Comp
458
+L GND #PWR017
459
+U 1 1 5892D636
460
+P 4500 6500
461
+F 0 "#PWR017" H 4500 6250 50  0001 C CNN
462
+F 1 "GND" H 4500 6350 50  0000 C CNN
463
+F 2 "" H 4500 6500 50  0000 C CNN
464
+F 3 "" H 4500 6500 50  0000 C CNN
465
+	1    4500 6500
466
+	1    0    0    -1  
467
+$EndComp
468
+$Comp
469
+L +3.3V #PWR018
470
+U 1 1 5892D6D6
471
+P 4500 5900
472
+F 0 "#PWR018" H 4500 5750 50  0001 C CNN
473
+F 1 "+3.3V" H 4500 6040 50  0000 C CNN
474
+F 2 "" H 4500 5900 50  0000 C CNN
475
+F 3 "" H 4500 5900 50  0000 C CNN
476
+	1    4500 5900
477
+	1    0    0    -1  
478
+$EndComp
479
+Text Label 1800 1600 0    60   ~ 0
480
+nRST
481
+Text Label 5400 6400 2    60   ~ 0
482
+nRST
483
+Text Label 10000 4200 2    60   ~ 0
484
+SWDIO
485
+Text Label 10000 4300 2    60   ~ 0
486
+SWCLK
487
+Text Label 5400 6000 2    60   ~ 0
488
+SWDIO
489
+Text Label 5400 6100 2    60   ~ 0
490
+SWCLK
491
+NoConn ~ 5100 6200
492
+NoConn ~ 5100 6300
493
+NoConn ~ 4600 6300
494
+Wire Wire Line
495
+	10000 4000 9700 4000
496
+Wire Wire Line
497
+	9700 4100 10000 4100
498
+Wire Wire Line
499
+	1700 1800 2100 1800
500
+Wire Wire Line
501
+	1100 1550 1100 1800
502
+Wire Wire Line
503
+	1100 1800 1400 1800
504
+Wire Wire Line
505
+	700  1150 700  1050
506
+Wire Wire Line
507
+	700  1050 1000 1050
508
+Wire Wire Line
509
+	1000 1050 1000 1150
510
+Wire Wire Line
511
+	6000 4900 6000 4800
512
+Wire Wire Line
513
+	5700 4900 6000 4900
514
+Wire Wire Line
515
+	5700 4800 5700 5000
516
+Connection ~ 5700 4900
517
+Wire Wire Line
518
+	5800 4800 5800 4900
519
+Connection ~ 5800 4900
520
+Wire Wire Line
521
+	5900 4800 5900 4900
522
+Connection ~ 5900 4900
523
+Wire Wire Line
524
+	2000 2000 2100 2000
525
+Wire Wire Line
526
+	5700 900  5700 1100
527
+Wire Wire Line
528
+	5700 1000 6000 1000
529
+Wire Wire Line
530
+	5800 1000 5800 1100
531
+Connection ~ 5700 1000
532
+Wire Wire Line
533
+	5900 1000 5900 1100
534
+Connection ~ 5800 1000
535
+Wire Wire Line
536
+	6000 1000 6000 1100
537
+Connection ~ 5900 1000
538
+Wire Wire Line
539
+	1700 1600 2100 1600
540
+Wire Wire Line
541
+	1300 1600 1400 1600
542
+Wire Wire Line
543
+	1600 6000 1600 6200
544
+Wire Wire Line
545
+	1600 6500 1600 6700
546
+Wire Wire Line
547
+	1600 6600 3600 6600
548
+Wire Wire Line
549
+	2000 6600 2000 6500
550
+Connection ~ 1600 6600
551
+Wire Wire Line
552
+	2400 6600 2400 6500
553
+Connection ~ 2000 6600
554
+Wire Wire Line
555
+	2000 6200 2000 6100
556
+Wire Wire Line
557
+	1600 6100 3600 6100
558
+Connection ~ 1600 6100
559
+Wire Wire Line
560
+	2400 6100 2400 6200
561
+Connection ~ 2000 6100
562
+Wire Wire Line
563
+	2800 6600 2800 6500
564
+Connection ~ 2400 6600
565
+Wire Wire Line
566
+	2800 6100 2800 6200
567
+Connection ~ 2400 6100
568
+Wire Wire Line
569
+	3200 6100 3200 6200
570
+Connection ~ 2800 6100
571
+Wire Wire Line
572
+	3200 6600 3200 6500
573
+Connection ~ 2800 6600
574
+Wire Wire Line
575
+	3600 6600 3600 6500
576
+Connection ~ 3200 6600
577
+Wire Wire Line
578
+	3600 6100 3600 6200
579
+Connection ~ 3200 6100
580
+Wire Wire Line
581
+	1000 4000 2100 4000
582
+Wire Wire Line
583
+	1000 4100 2100 4100
584
+Wire Wire Line
585
+	1000 3900 2100 3900
586
+Wire Wire Line
587
+	1100 3900 1100 3800
588
+Connection ~ 1100 3900
589
+Wire Wire Line
590
+	1300 4000 1300 3800
591
+Connection ~ 1300 4000
592
+Wire Wire Line
593
+	1500 3800 1500 4100
594
+Connection ~ 1500 4100
595
+Wire Wire Line
596
+	1500 3400 1500 3500
597
+Wire Wire Line
598
+	1100 3400 1500 3400
599
+Wire Wire Line
600
+	1300 3400 1300 3500
601
+Wire Wire Line
602
+	1100 3300 1100 3500
603
+Connection ~ 1300 3400
604
+Connection ~ 1100 3400
605
+Wire Wire Line
606
+	2050 3700 2100 3700
607
+Wire Wire Line
608
+	6000 6150 6400 6150
609
+Wire Wire Line
610
+	6800 5550 6800 5650
611
+Wire Wire Line
612
+	6800 6050 6800 6250
613
+Wire Wire Line
614
+	6700 6150 6800 6150
615
+Connection ~ 6800 6150
616
+Wire Wire Line
617
+	6800 6550 6800 6750
618
+Wire Wire Line
619
+	6300 6550 6300 6650
620
+Wire Wire Line
621
+	6300 6650 6800 6650
622
+Connection ~ 6800 6650
623
+Wire Wire Line
624
+	6300 6150 6300 6250
625
+Connection ~ 6300 6150
626
+Wire Wire Line
627
+	4600 6100 4500 6100
628
+Wire Wire Line
629
+	4500 6100 4500 6500
630
+Wire Wire Line
631
+	4600 6400 4500 6400
632
+Connection ~ 4500 6400
633
+Wire Wire Line
634
+	4600 6200 4500 6200
635
+Connection ~ 4500 6200
636
+Wire Wire Line
637
+	4600 6000 4500 6000
638
+Wire Wire Line
639
+	4500 6000 4500 5900
640
+Wire Wire Line
641
+	5100 6000 5400 6000
642
+Wire Wire Line
643
+	5100 6100 5400 6100
644
+Wire Wire Line
645
+	5100 6400 5400 6400
646
+Wire Wire Line
647
+	9700 4200 10000 4200
648
+Wire Wire Line
649
+	9700 4300 10000 4300
650
+Wire Wire Line
651
+	9700 4400 10000 4400
652
+$Comp
653
+L LED D4
654
+U 1 1 58931071
655
+P 10000 4650
656
+F 0 "D4" H 10000 4750 50  0000 C CNN
657
+F 1 "NOPWR" H 10000 4550 50  0000 C CNN
658
+F 2 "LEDs:LED_0805" H 10000 4650 50  0001 C CNN
659
+F 3 "" H 10000 4650 50  0000 C CNN
660
+	1    10000 4650
661
+	0    -1   -1   0   
662
+$EndComp
663
+$Comp
664
+L R R10
665
+U 1 1 5893124B
666
+P 10000 5050
667
+F 0 "R10" V 10080 5050 50  0000 C CNN
668
+F 1 "300Ω" V 10000 5050 50  0000 C CNN
669
+F 2 "Resistors_SMD:R_0805" V 9930 5050 50  0001 C CNN
670
+F 3 "" H 10000 5050 50  0000 C CNN
671
+	1    10000 5050
672
+	1    0    0    -1  
673
+$EndComp
674
+Wire Wire Line
675
+	10000 4800 10000 4900
676
+Wire Wire Line
677
+	10000 4400 10000 4500
678
+$Comp
679
+L GND #PWR019
680
+U 1 1 589313D4
681
+P 10000 5300
682
+F 0 "#PWR019" H 10000 5050 50  0001 C CNN
683
+F 1 "GND" H 10000 5150 50  0000 C CNN
684
+F 2 "" H 10000 5300 50  0000 C CNN
685
+F 3 "" H 10000 5300 50  0000 C CNN
686
+	1    10000 5300
687
+	1    0    0    -1  
688
+$EndComp
689
+Wire Wire Line
690
+	10000 5300 10000 5200
691
+Text Label 10000 4400 2    60   ~ 0
692
+NOPWR
693
+Text Label 6000 6150 0    60   ~ 0
694
+SETUP
695
+Text Label 1800 3200 0    60   ~ 0
696
+SETUP
697
+Wire Wire Line
698
+	2100 3200 1800 3200
699
+NoConn ~ 9700 3900
700
+NoConn ~ 2100 3600
701
+NoConn ~ 2100 3500
702
+NoConn ~ 2100 3400
703
+$EndSCHEMATC

+ 271
- 0
output.sch View File

@@ -0,0 +1,271 @@
1
+EESchema Schematic File Version 2
2
+LIBS:power
3
+LIBS:device
4
+LIBS:transistors
5
+LIBS:conn
6
+LIBS:linear
7
+LIBS:regul
8
+LIBS:74xx
9
+LIBS:cmos4000
10
+LIBS:adc-dac
11
+LIBS:memory
12
+LIBS:xilinx
13
+LIBS:microcontrollers
14
+LIBS:dsp
15
+LIBS:microchip
16
+LIBS:analog_switches
17
+LIBS:motorola
18
+LIBS:texas
19
+LIBS:intel
20
+LIBS:audio
21
+LIBS:interface
22
+LIBS:digital-audio
23
+LIBS:philips
24
+LIBS:display
25
+LIBS:cypress
26
+LIBS:siliconi
27
+LIBS:opto
28
+LIBS:atmel
29
+LIBS:contrib
30
+LIBS:valves
31
+LIBS:74xgxx
32
+LIBS:ac-dc
33
+LIBS:actel
34
+LIBS:allegro
35
+LIBS:Altera
36
+LIBS:analog_devices
37
+LIBS:battery_management
38
+LIBS:bbd
39
+LIBS:brooktre
40
+LIBS:cmos_ieee
41
+LIBS:dc-dc
42
+LIBS:diode
43
+LIBS:elec-unifil
44
+LIBS:ESD_Protection
45
+LIBS:ftdi
46
+LIBS:gennum
47
+LIBS:graphic
48
+LIBS:hc11
49
+LIBS:ir
50
+LIBS:Lattice
51
+LIBS:logo
52
+LIBS:maxim
53
+LIBS:mechanical
54
+LIBS:microchip_dspic33dsc
55
+LIBS:microchip_pic10mcu
56
+LIBS:microchip_pic12mcu
57
+LIBS:microchip_pic16mcu
58
+LIBS:microchip_pic18mcu
59
+LIBS:microchip_pic32mcu
60
+LIBS:motor_drivers
61
+LIBS:motors
62
+LIBS:msp430
63
+LIBS:nordicsemi
64
+LIBS:nxp_armmcu
65
+LIBS:onsemi
66
+LIBS:Oscillators
67
+LIBS:powerint
68
+LIBS:Power_Management
69
+LIBS:pspice
70
+LIBS:references
71
+LIBS:relays
72
+LIBS:rfcom
73
+LIBS:sensors
74
+LIBS:silabs
75
+LIBS:stm32
76
+LIBS:stm8
77
+LIBS:supertex
78
+LIBS:switches
79
+LIBS:transf
80
+LIBS:ttl_ieee
81
+LIBS:video
82
+LIBS:wiznet
83
+LIBS:Worldsemi
84
+LIBS:Xicor
85
+LIBS:zetex
86
+LIBS:Zilog
87
+LIBS:pd-buddy
88
+LIBS:pd-buddy-cache
89
+EELAYER 25 0
90
+EELAYER END
91
+$Descr A4 11693 8268
92
+encoding utf-8
93
+Sheet 4 6
94
+Title "PD Buddy"
95
+Date ""
96
+Rev "0.1"
97
+Comp ""
98
+Comment1 ""
99
+Comment2 ""
100
+Comment3 ""
101
+Comment4 ""
102
+$EndDescr
103
+$Comp
104
+L DMP4015SSS Q2
105
+U 1 1 588FA570
106
+P 6400 3550
107
+F 0 "Q2" H 6600 3600 50  0000 L CNN
108
+F 1 "DMP4015SSS" H 6600 3500 50  0000 L CNN
109
+F 2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" H 6600 3650 50  0001 C CNN
110
+F 3 "http://www.diodes.com/_files/datasheets/DMP4015SSS.pdf" H 6600 3600 50  0001 C CNN
111
+	1    6400 3550
112
+	1    0    0    -1  
113
+$EndComp
114
+$Comp
115
+L PZT2222A Q1
116
+U 1 1 588FA577
117
+P 5450 4050
118
+F 0 "Q1" H 5650 4125 50  0000 L CNN
119
+F 1 "MMBT2222ALT1G" H 5650 4050 50  0000 L CNN
120
+F 2 "TO_SOT_Packages_SMD:SOT-23" H 5650 3975 50  0001 L CIN
121
+F 3 "" H 5450 4050 50  0000 L CNN
122
+	1    5450 4050
123
+	1    0    0    -1  
124
+$EndComp
125
+$Comp
126
+L Screw_Terminal_1x02 J1
127
+U 1 1 588FA688
128
+P 7000 4050
129
+F 0 "J1" H 7000 4300 50  0000 C TNN
130
+F 1 "5-20 V⎓" V 6850 4050 50  0000 C TNN
131
+F 2 "Connectors_Terminal_Blocks:TerminalBlock_Pheonix_MPT-2.54mm_2pol" H 7000 3825 50  0001 C CNN
132
+F 3 "" H 6975 4050 50  0001 C CNN
133
+	1    7000 4050
134
+	-1   0    0    1   
135
+$EndComp
136
+Text HLabel 4650 4050 0    60   Input ~ 0
137
+OUT_CTRL
138
+Wire Wire Line
139
+	5150 4050 5250 4050
140
+$Comp
141
+L GND #PWR020
142
+U 1 1 58925B13
143
+P 5550 4550
144
+F 0 "#PWR020" H 5550 4300 50  0001 C CNN
145
+F 1 "GND" H 5550 4400 50  0000 C CNN
146
+F 2 "" H 5550 4550 50  0000 C CNN
147
+F 3 "" H 5550 4550 50  0000 C CNN
148
+	1    5550 4550
149
+	1    0    0    -1  
150
+$EndComp
151
+Wire Wire Line
152
+	5550 4550 5550 4250
153
+$Comp
154
+L GND #PWR021
155
+U 1 1 58925CFD
156
+P 6500 4550
157
+F 0 "#PWR021" H 6500 4300 50  0001 C CNN
158
+F 1 "GND" H 6500 4400 50  0000 C CNN
159
+F 2 "" H 6500 4550 50  0000 C CNN
160
+F 3 "" H 6500 4550 50  0000 C CNN
161
+	1    6500 4550
162
+	1    0    0    -1  
163
+$EndComp
164
+Wire Wire Line
165
+	6500 3350 6500 3050
166
+$Comp
167
+L VBUS #PWR022
168
+U 1 1 58925D31
169
+P 6500 3050
170
+F 0 "#PWR022" H 6500 2900 50  0001 C CNN
171
+F 1 "VBUS" H 6500 3190 50  0000 C CNN
172
+F 2 "" H 6500 3050 50  0000 C CNN
173
+F 3 "" H 6500 3050 50  0000 C CNN
174
+	1    6500 3050
175
+	1    0    0    -1  
176
+$EndComp
177
+$Comp
178
+L D_Schottky D1
179
+U 1 1 58925D4E
180
+P 6500 4050
181
+F 0 "D1" H 6500 4150 50  0000 C CNN
182
+F 1 "1N5819" H 6500 3950 50  0000 C CNN
183
+F 2 "Diodes_THT:D_DO-41_SOD81_P2.54mm_Vertical_AnodeUp" H 6500 4050 50  0001 C CNN
184
+F 3 "" H 6500 4050 50  0000 C CNN
185
+	1    6500 4050
186
+	0    1    1    0   
187
+$EndComp
188
+Wire Wire Line
189
+	6500 3750 6500 3900
190
+Wire Wire Line
191
+	6500 4200 6500 4550
192
+Wire Wire Line
193
+	6500 3850 6800 3850
194
+Wire Wire Line
195
+	6800 3850 6800 3950
196
+Connection ~ 6500 3850
197
+Wire Wire Line
198
+	6800 4150 6800 4250
199
+Wire Wire Line
200
+	6800 4250 6500 4250
201
+Connection ~ 6500 4250
202
+Wire Wire Line
203
+	5550 3450 5550 3850
204
+Wire Wire Line
205
+	5550 3550 6200 3550
206
+$Comp
207
+L R R9
208
+U 1 1 5892602E
209
+P 5550 3300
210
+F 0 "R9" V 5630 3300 50  0000 C CNN
211
+F 1 "4.7kΩ" V 5550 3300 50  0000 C CNN
212
+F 2 "Resistors_SMD:R_0805" V 5480 3300 50  0001 C CNN
213
+F 3 "" H 5550 3300 50  0000 C CNN
214
+	1    5550 3300
215
+	1    0    0    -1  
216
+$EndComp
217
+Connection ~ 5550 3550
218
+$Comp
219
+L VBUS #PWR023
220
+U 1 1 589260BE
221
+P 5550 3050
222
+F 0 "#PWR023" H 5550 2900 50  0001 C CNN
223
+F 1 "VBUS" H 5550 3190 50  0000 C CNN
224
+F 2 "" H 5550 3050 50  0000 C CNN
225
+F 3 "" H 5550 3050 50  0000 C CNN
226
+	1    5550 3050
227
+	1    0    0    -1  
228
+$EndComp
229
+Wire Wire Line
230
+	5550 3050 5550 3150
231
+$Comp
232
+L R R8
233
+U 1 1 58926842
234
+P 5000 4050
235
+F 0 "R8" V 5080 4050 50  0000 C CNN
236
+F 1 "2kΩ" V 5000 4050 50  0000 C CNN
237
+F 2 "Resistors_SMD:R_0805" V 4930 4050 50  0001 C CNN
238
+F 3 "" H 5000 4050 50  0000 C CNN
239
+	1    5000 4050
240
+	0    -1   -1   0   
241
+$EndComp
242
+Wire Wire Line
243
+	4650 4050 4850 4050
244
+$Comp
245
+L R R7
246
+U 1 1 58926F23
247
+P 4750 4300
248
+F 0 "R7" V 4830 4300 50  0000 C CNN
249
+F 1 "10kΩ" V 4750 4300 50  0000 C CNN
250
+F 2 "Resistors_SMD:R_0805" V 4680 4300 50  0001 C CNN
251
+F 3 "" H 4750 4300 50  0000 C CNN
252
+	1    4750 4300
253
+	1    0    0    -1  
254
+$EndComp
255
+Wire Wire Line
256
+	4750 4150 4750 4050
257
+Connection ~ 4750 4050
258
+$Comp
259
+L GND #PWR024
260
+U 1 1 58926FD3
261
+P 4750 4550
262
+F 0 "#PWR024" H 4750 4300 50  0001 C CNN
263
+F 1 "GND" H 4750 4400 50  0000 C CNN
264
+F 2 "" H 4750 4550 50  0000 C CNN
265
+F 3 "" H 4750 4550 50  0000 C CNN
266
+	1    4750 4550
267
+	1    0    0    -1  
268
+$EndComp
269
+Wire Wire Line
270
+	4750 4550 4750 4450
271
+$EndSCHEMATC

+ 484
- 0
pd-buddy-cache.lib View File

@@ -0,0 +1,484 @@
1
+EESchema-LIBRARY Version 2.3
2
+#encoding utf-8
3
+#
4
+# +3V3
5
+#
6
+DEF +3V3 #PWR 0 0 Y Y 1 F P
7
+F0 "#PWR" 0 -150 50 H I C CNN
8
+F1 "+3V3" 0 140 50 H V C CNN
9
+F2 "" 0 0 50 H V C CNN
10
+F3 "" 0 0 50 H V C CNN
11
+ALIAS +3.3V
12
+DRAW
13
+P 2 0 1 0 -30 50 0 100 N
14
+P 2 0 1 0 0 0 0 100 N
15
+P 2 0 1 0 0 100 30 50 N
16
+X +3V3 1 0 0 0 U 50 50 1 1 W N
17
+ENDDRAW
18
+ENDDEF
19
+#
20
+# 12401548E4#2A
21
+#
22
+DEF 12401548E4#2A P 0 40 Y Y 1 F N
23
+F0 "P" 300 -750 60 H V C CNN
24
+F1 "12401548E4#2A" 0 650 60 H V C CNN
25
+F2 "" 0 0 60 H I C CNN
26
+F3 "https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401548E4%7e2A" 300 -750 60 H I C CNN
27
+DRAW
28
+S 400 600 -400 -700 0 1 0 f
29
+X GND A1 600 500 200 L 50 50 1 1 W
30
+X GND B1 -600 -600 200 R 50 50 1 1 W
31
+X SSTXp1 A2 600 400 200 L 50 50 1 1 O
32
+X SSTXp2 B2 -600 -500 200 R 50 50 1 1 O
33
+X SSTXn1 A3 600 300 200 L 50 50 1 1 O
34
+X SSTXn2 B3 -600 -400 200 R 50 50 1 1 O
35
+X Vbus A4 600 200 200 L 50 50 1 1 W
36
+X Vbus B4 -600 -300 200 R 50 50 1 1 W
37
+X CC1 A5 600 100 200 L 50 50 1 1 B
38
+X CC2 B5 -600 -200 200 R 50 50 1 1 B
39
+X Dp1 A6 600 0 200 L 50 50 1 1 B
40
+X Dp2 B6 -600 -100 200 R 50 50 1 1 B
41
+X Dn1 A7 600 -100 200 L 50 50 1 1 B
42
+X Dn2 B7 -600 0 200 R 50 50 1 1 B
43
+X SBU1 A8 600 -200 200 L 50 50 1 1 B
44
+X SBU2 B8 -600 100 200 R 50 50 1 1 B
45
+X Vbus A9 600 -300 200 L 50 50 1 1 W
46
+X Vbus B9 -600 200 200 R 50 50 1 1 W
47
+X SHELL SH 0 -900 200 U 50 50 1 1 P
48
+X SSRXn2 A10 600 -400 200 L 50 50 1 1 I
49
+X SSRXn1 B10 -600 300 200 R 50 50 1 1 I
50
+X SSRXp2 A11 600 -500 200 L 50 50 1 1 I
51
+X SSRXp1 B11 -600 400 200 R 50 50 1 1 I
52
+X GND A12 600 -600 200 L 50 50 1 1 W
53
+X GND B12 -600 500 200 R 50 50 1 1 W
54
+ENDDRAW
55
+ENDDEF
56
+#
57
+# AP2204R-3.3TRG1
58
+#
59
+DEF AP2204R-3.3TRG1 U 0 40 Y Y 1 F N
60
+F0 "U" 200 -300 60 H V C CNN
61
+F1 "AP2204R-3.3TRG1" 0 200 60 H V C CNN
62
+F2 "TO_SOT_Packages_SMD:SOT89-3_Housing" 100 -400 60 H I C CNN
63
+F3 "http://www.diodes.com/_files/datasheets/AP2204.pdf" 200 -300 60 H I C CNN
64
+DRAW
65
+S -300 100 300 -200 0 1 0 f
66
+X VIN 1 -400 0 100 R 50 50 1 1 W
67
+X GND 2 0 -300 100 U 50 50 1 1 W
68
+X VOUT 3 400 0 100 L 50 50 1 1 w
69
+ENDDRAW
70
+ENDDEF
71
+#
72
+# C
73
+#
74
+DEF C C 0 10 N Y 1 F N
75
+F0 "C" 25 100 50 H V L CNN
76
+F1 "C" 25 -100 50 H V L CNN
77
+F2 "" 38 -150 50 H V C CNN
78
+F3 "" 0 0 50 H V C CNN
79
+$FPLIST
80
+ C?
81
+ C_????_*
82
+ C_????
83
+ SMD*_c
84
+ Capacitor*
85
+$ENDFPLIST
86
+DRAW
87
+P 2 0 1 20 -80 -30 80 -30 N
88
+P 2 0 1 20 -80 30 80 30 N
89
+X ~ 1 0 150 110 D 50 50 1 1 P
90
+X ~ 2 0 -150 110 U 50 50 1 1 P
91
+ENDDRAW
92
+ENDDEF
93
+#
94
+# CONN_02X05
95
+#
96
+DEF CONN_02X05 P 0 1 Y N 1 F N
97
+F0 "P" 0 300 50 H V C CNN
98
+F1 "CONN_02X05" 0 -300 50 H V C CNN
99
+F2 "" 0 -1200 50 H V C CNN
100
+F3 "" 0 -1200 50 H V C CNN
101
+$FPLIST
102
+ Pin_Header_Straight_2X05
103
+ Pin_Header_Angled_2X05
104
+ Socket_Strip_Straight_2X05
105
+ Socket_Strip_Angled_2X05
106
+$ENDFPLIST
107
+DRAW
108
+S -100 -195 -50 -205 0 1 0 N
109
+S -100 -95 -50 -105 0 1 0 N
110
+S -100 5 -50 -5 0 1 0 N
111
+S -100 105 -50 95 0 1 0 N
112
+S -100 205 -50 195 0 1 0 N
113
+S -100 250 100 -250 0 1 0 N
114
+S 50 -195 100 -205 0 1 0 N
115
+S 50 -95 100 -105 0 1 0 N
116
+S 50 5 100 -5 0 1 0 N
117
+S 50 105 100 95 0 1 0 N
118
+S 50 205 100 195 0 1 0 N
119
+X P1 1 -250 200 150 R 50 50 1 1 P
120
+X P2 2 250 200 150 L 50 50 1 1 P
121
+X P3 3 -250 100 150 R 50 50 1 1 P
122
+X P4 4 250 100 150 L 50 50 1 1 P
123
+X P5 5 -250 0 150 R 50 50 1 1 P
124
+X P6 6 250 0 150 L 50 50 1 1 P
125
+X P7 7 -250 -100 150 R 50 50 1 1 P
126
+X P8 8 250 -100 150 L 50 50 1 1 P
127
+X P9 9 -250 -200 150 R 50 50 1 1 P
128
+X P10 10 250 -200 150 L 50 50 1 1 P
129
+ENDDRAW
130
+ENDDEF
131
+#
132
+# DMP4015SSS
133
+#
134
+DEF DMP4015SSS Q 0 0 Y N 1 F N
135
+F0 "Q" 200 50 50 H V L CNN
136
+F1 "DMP4015SSS" 200 -50 50 H V L CNN
137
+F2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" 200 100 50 H I C CNN
138
+F3 "http://www.diodes.com/_files/datasheets/DMP4015SSS.pdf" 200 50 50 H I C CNN
139
+DRAW
140
+C 65 0 111 0 1 10 N
141
+C 100 -70 11 0 1 0 F
142
+C 100 70 11 0 1 0 F
143
+P 2 0 1 0 30 -70 100 -70 N
144
+P 2 0 1 10 30 -50 30 -90 N
145
+P 2 0 1 0 30 0 100 0 N
146
+P 2 0 1 10 30 20 30 -20 N
147
+P 2 0 1 0 30 70 100 70 N
148
+P 2 0 1 10 30 90 30 50 N
149
+P 2 0 1 0 100 -70 100 -100 N
150
+P 2 0 1 0 100 -70 100 0 N
151
+P 2 0 1 0 100 100 100 70 N
152
+P 3 0 1 10 10 75 10 -75 10 -75 N
153
+P 4 0 1 0 90 0 50 -15 50 15 90 0 F
154
+P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
155
+P 4 0 1 0 110 -20 115 -15 145 -15 150 -10 N
156
+P 4 0 1 0 130 -15 115 10 145 10 130 -15 N
157
+X S 1 100 -200 100 U 50 50 1 1 P
158
+X S 2 100 -200 100 U 50 50 1 1 P N
159
+X S 3 100 -200 100 U 50 50 1 1 P N
160
+X G 4 -200 0 210 R 50 50 1 1 I
161
+X D 5 100 200 100 D 50 50 1 1 P
162
+X D 6 100 200 100 D 50 50 1 1 P N
163
+X D 7 100 200 100 D 50 50 1 1 P N
164
+X D 8 100 200 100 D 50 50 1 1 P N
165
+ENDDRAW
166
+ENDDEF
167
+#
168
+# D_Schottky
169
+#
170
+DEF D_Schottky D 0 40 N N 1 F N
171
+F0 "D" 0 100 50 H V C CNN
172
+F1 "D_Schottky" 0 -100 50 H V C CNN
173
+F2 "" 0 0 50 H V C CNN
174
+F3 "" 0 0 50 H V C CNN
175
+$FPLIST
176
+ Diode_*
177
+ D-*
178
+ *SingleDiode
179
+ *_Diode_*
180
+ *SingleDiode*
181
+ D_*
182
+$ENDFPLIST
183
+DRAW
184
+P 2 0 1 0 50 0 -50 0 N
185
+P 4 0 1 8 50 50 50 -50 -50 0 50 50 N
186
+P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
187
+X K 1 -150 0 100 R 50 50 1 1 P
188
+X A 2 150 0 100 L 50 50 1 1 P
189
+ENDDRAW
190
+ENDDEF
191
+#
192
+# D_Zener
193
+#
194
+DEF D_Zener D 0 40 N N 1 F N
195
+F0 "D" 0 100 50 H V C CNN
196
+F1 "D_Zener" 0 -100 50 H V C CNN
197
+F2 "" 0 0 50 H V C CNN
198
+F3 "" 0 0 50 H V C CNN
199
+$FPLIST
200
+ Diode_*
201
+ D-Pak_TO252AA
202
+ *SingleDiode
203
+ *_Diode_*
204
+ *SingleDiode*
205
+ D_*
206
+$ENDFPLIST
207
+DRAW
208
+P 2 0 1 0 50 0 -50 0 N
209
+P 3 0 1 8 -50 -50 -50 50 -30 50 N
210
+P 4 0 1 8 50 -50 50 50 -50 0 50 -50 N
211
+X K 1 -150 0 100 R 50 50 1 1 P
212
+X A 2 150 0 100 L 50 50 1 1 P
213
+ENDDRAW
214
+ENDDEF
215
+#
216
+# FUSB302B
217
+#
218
+DEF FUSB302B U 0 40 Y Y 1 F N
219
+F0 "U" 200 -500 60 H V C CNN
220
+F1 "FUSB302B" -300 -500 60 H V C CNN
221
+F2 "pd-buddy:MLP-14" 100 -600 60 H I C CNN
222
+F3 "https://www.fairchildsemi.com/datasheets/FU/FUSB302B.pdf" 200 -500 60 H I C CNN
223
+DRAW
224
+S -300 400 300 -400 0 1 0 f
225
+X CC2 1 -400 -100 100 R 50 50 1 1 B
226
+X VBUS 2 -400 100 100 R 50 50 1 1 P
227
+X VDD 3 -100 500 100 D 50 50 1 1 W
228
+X VDD 4 -100 500 100 D 50 50 1 1 W N
229
+X INT_N 5 400 -100 100 L 50 50 1 1 O
230
+X SCL 6 400 0 100 L 50 50 1 1 I
231
+X SDA 7 400 100 100 L 50 50 1 1 B
232
+X GND 8 0 -500 100 U 50 50 1 1 W
233
+X GND 9 0 -500 100 U 50 50 1 1 W N
234
+X CC1 10 -400 0 100 R 50 50 1 1 B
235
+X CC1 11 -400 0 100 R 50 50 1 1 B N
236
+X VCONN 12 100 500 100 D 50 50 1 1 W
237
+X VCONN 13 100 500 100 D 50 50 1 1 W N
238
+X CC2 14 -400 -100 100 R 50 50 1 1 B N
239
+X GND 15 0 -500 100 U 50 50 1 1 W N
240
+ENDDRAW
241
+ENDDEF
242
+#
243
+# GND
244
+#
245
+DEF GND #PWR 0 0 Y Y 1 F P
246
+F0 "#PWR" 0 -250 50 H I C CNN
247
+F1 "GND" 0 -150 50 H V C CNN
248
+F2 "" 0 0 50 H V C CNN
249
+F3 "" 0 0 50 H V C CNN
250
+DRAW
251
+P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
252
+X GND 1 0 0 0 D 50 50 1 1 W N
253
+ENDDRAW
254
+ENDDEF
255
+#
256
+# LED
257
+#
258
+DEF LED D 0 40 Y N 1 F N
259
+F0 "D" 0 100 50 H V C CNN
260
+F1 "LED" 0 -100 50 H V C CNN
261
+F2 "" 0 0 50 H V C CNN
262
+F3 "" 0 0 50 H V C CNN
263
+$FPLIST
264
+ LED*
265
+$ENDFPLIST
266
+DRAW
267
+P 2 0 1 8 -50 -50 -50 50 N
268
+P 2 0 1 0 -50 0 50 0 N
269
+P 4 0 1 8 50 -50 50 50 -50 0 50 -50 N
270
+P 5 0 1 0 -120 -30 -180 -90 -150 -90 -180 -90 -180 -60 N
271
+P 5 0 1 0 -70 -30 -130 -90 -100 -90 -130 -90 -130 -60 N
272
+X K 1 -150 0 100 R 50 50 1 1 P
273
+X A 2 150 0 100 L 50 50 1 1 P
274
+ENDDRAW
275
+ENDDEF
276
+#
277
+# Mounting_Hole_PAD
278
+#
279
+DEF Mounting_Hole_PAD MK 0 40 N N 1 F N
280
+F0 "MK" 0 250 50 H V C CNN
281
+F1 "Mounting_Hole_PAD" 0 175 50 H V C CNN
282
+F2 "" 0 0 60 H I C CNN
283
+F3 "" 0 0 60 H I C CNN
284
+$FPLIST
285
+ Mounting?Hole*
286
+ Hole*
287
+$ENDFPLIST
288
+DRAW
289
+C 0 50 50 0 1 50 N
290
+X 1 1 0 -100 100 U 50 50 1 1 I
291
+ENDDRAW
292
+ENDDEF
293
+#
294
+# PWR_FLAG
295
+#
296
+DEF PWR_FLAG #FLG 0 0 N N 1 F P
297
+F0 "#FLG" 0 95 50 H I C CNN
298
+F1 "PWR_FLAG" 0 180 50 H V C CNN
299
+F2 "" 0 0 50 H V C CNN
300
+F3 "" 0 0 50 H V C CNN
301
+DRAW
302
+X pwr 1 0 0 0 U 50 50 0 0 w
303
+P 6 0 1 0 0 0 0 50 -75 100 0 150 75 100 0 50 N
304
+ENDDRAW
305
+ENDDEF
306
+#
307
+# PZT2222A
308
+#
309
+DEF PZT2222A Q 0 0 Y Y 1 F N
310
+F0 "Q" 200 75 50 H V L CNN
311
+F1 "PZT2222A" 200 0 50 H V L CNN
312
+F2 "SOT-223" 200 -75 50 H V L CIN
313
+F3 "" 0 0 50 H V L CNN
314
+$FPLIST
315
+ SOT-223*
316
+$ENDFPLIST
317
+DRAW
318
+C 50 0 111 0 1 10 N
319
+P 2 0 1 0 0 0 25 0 N
320
+P 2 0 1 0 100 -100 25 -25 N
321
+P 2 0 1 0 100 100 25 25 N
322
+P 3 0 1 20 25 75 25 -75 25 -75 N
323
+P 3 0 1 0 90 -90 70 -70 70 -70 N
324
+P 5 0 1 0 55 -75 75 -55 95 -95 55 -75 55 -75 F
325
+X B 1 -200 0 200 R 50 50 1 1 I
326
+X C 2 100 200 100 D 50 50 1 1 P
327
+X E 3 100 -200 100 U 50 50 1 1 P
328
+ENDDRAW
329
+ENDDEF
330
+#
331
+# R
332
+#
333
+DEF R R 0 0 N Y 1 F N
334
+F0 "R" 80 0 50 V V C CNN
335
+F1 "R" 0 0 50 V V C CNN
336
+F2 "" -70 0 50 V V C CNN
337
+F3 "" 0 0 50 H V C CNN
338
+$FPLIST
339
+ R_*
340
+ Resistor_*
341
+$ENDFPLIST
342
+DRAW
343
+S -40 -100 40 100 0 1 10 N
344
+X ~ 1 0 150 50 D 50 50 1 1 P
345
+X ~ 2 0 -150 50 U 50 50 1 1 P
346
+ENDDRAW
347
+ENDDEF
348
+#
349
+# STM32F072C8Tx
350
+#
351
+DEF STM32F072C8Tx U 0 40 Y Y 1 L N
352
+F0 "U" -3700 1825 50 H V L BNN
353
+F1 "STM32F072C8Tx" 3700 1825 50 H V R BNN
354
+F2 "LQFP48" 3700 1775 50 H V R TNN
355
+F3 "" 0 0 50 H V C CNN
356
+ALIAS STM32F072CBTx
357
+DRAW
358
+S -3700 -1700 3700 1800 0 1 10 f
359
+X VBAT 1 -3800 1000 100 R 50 50 1 1 W
360
+X PC13/RTC_OUT_ALARM/RTC_OUT_CALIB/RTC_TAMP1/RTC_TS/SYS_WKUP2 2 -3800 500 100 R 50 50 1 1 B
361
+X PC14/RCC_OSC32_IN 3 -3800 400 100 R 50 50 1 1 B
362
+X PC15/RCC_OSC32_OUT 4 -3800 300 100 R 50 50 1 1 B
363
+X PF0/CRS_SYNC/RCC_OSC_IN 5 -3800 800 100 R 50 50 1 1 I
364
+X PF1/RCC_OSC_OUT 6 -3800 700 100 R 50 50 1 1 I
365
+X NRST 7 -3800 1400 100 R 50 50 1 1 I
366
+X VSSA 8 100 -1800 100 U 50 50 1 1 W
367
+X VDDA 9 0 1900 100 D 50 50 1 1 W
368
+X ADC_IN0/COMP1_INM/COMP1_OUT/RTC_TAMP2/SYS_WKUP1/TIM2_CH1/TIM2_ETR/TSC_G1_IO1/USART2_CTS/USART4_TX/PA0 10 3800 100 100 L 50 50 1 1 B
369
+X PB2/TSC_G3_IO4 20 -3800 -100 100 R 50 50 1 1 B
370
+X DAC_EXTI9/TIM15_BKIN/TIM1_CH2/TSC_G4_IO1/USART1_TX/PA9 30 3800 -800 100 L 50 50 1 1 B
371
+X PB4/I2S1_MCK/SPI1_MISO/TIM17_BKIN/TIM3_CH1/TSC_G5_IO2 40 -3800 -300 100 R 50 50 1 1 B
372
+X ADC_IN1/COMP1_INP/TIM15_CH1N/TIM2_CH2/TSC_G1_IO2/USART2_DE/USART2_RTS/USART4_RX/PA1 11 3800 0 100 L 50 50 1 1 B
373
+X PB10/CEC/I2C2_SCL/I2S2_CK/SPI2_SCK/TIM2_CH3/TSC_SYNC/USART3_TX 21 -3800 -900 100 R 50 50 1 1 B
374
+X TIM17_BKIN/TIM1_CH3/TSC_G4_IO2/USART1_RX/PA10 31 3800 -900 100 L 50 50 1 1 B
375
+X PB5/I2C1_SMBA/I2S1_SD/SPI1_MOSI/SYS_WKUP6/TIM16_BKIN/TIM3_CH2 41 -3800 -400 100 R 50 50 1 1 B
376
+X ADC_IN2/COMP2_INM/COMP2_OUT/SYS_WKUP4/TIM15_CH1/TIM2_CH3/TSC_G1_IO3/USART2_TX/PA2 12 3800 -100 100 L 50 50 1 1 B
377
+X PB11/I2C2_SDA/TIM2_CH4/TSC_G6_IO1/USART3_RX 22 -3800 -1000 100 R 50 50 1 1 B
378
+X CAN_RX/COMP1_OUT/TIM1_CH4/TSC_G4_IO3/USART1_CTS/USB_DM/PA11 32 3800 -1000 100 L 50 50 1 1 B
379
+X PB6/I2C1_SCL/TIM16_CH1N/TSC_G5_IO3/USART1_TX 42 -3800 -500 100 R 50 50 1 1 B
380
+X ADC_IN3/COMP2_INP/TIM15_CH2/TIM2_CH4/TSC_G1_IO4/USART2_RX/PA3 13 3800 -200 100 L 50 50 1 1 B
381
+X VSS 23 -200 -1800 100 U 50 50 1 1 W
382
+X CAN_TX/COMP2_OUT/TIM1_ETR/TSC_G4_IO4/USART1_DE/USART1_RTS/USB_DP/PA12 33 3800 -1100 100 L 50 50 1 1 B
383
+X PB7/I2C1_SDA/TIM17_CH1N/TSC_G5_IO4/USART1_RX/USART4_CTS 43 -3800 -600 100 R 50 50 1 1 B
384
+X ADC_IN4/COMP1_INM/COMP2_INM/DAC_OUT1/I2S1_WS/SPI1_NSS/TIM14_CH1/TSC_G2_IO1/USART2_CK/PA4 14 3800 -300 100 L 50 50 1 1 B
385
+X VDD 24 -200 1900 100 D 50 50 1 1 W
386
+X IR_OUT/SYS_SWDIO/USB_OE/PA13 34 3800 -1200 100 L 50 50 1 1 B
387
+X BOOT0 44 -3800 1200 100 R 50 50 1 1 I
388
+X ADC_IN5/CEC/COMP1_INM/COMP2_INM/DAC_OUT2/I2S1_CK/SPI1_SCK/TIM2_CH1/TIM2_ETR/TSC_G2_IO2/PA5 15 3800 -400 100 L 50 50 1 1 B
389
+X PB12/I2S2_WS/SPI2_NSS/TIM15_BKIN/TIM1_BKIN/TSC_G6_IO2/USART3_CK 25 -3800 -1100 100 R 50 50 1 1 B
390
+X VSS 35 -100 -1800 100 U 50 50 1 1 W
391
+X PB8/CAN_RX/CEC/I2C1_SCL/TIM16_CH1/TSC_SYNC 45 -3800 -700 100 R 50 50 1 1 B
392
+X ADC_IN6/COMP1_OUT/I2S1_MCK/SPI1_MISO/TIM16_CH1/TIM1_BKIN/TIM3_CH1/TSC_G2_IO3/USART3_CTS/PA6 16 3800 -500 100 L 50 50 1 1 B
393
+X PB13/I2C2_SCL/I2S2_CK/SPI2_SCK/TIM1_CH1N/TSC_G6_IO3/USART3_CTS 26 -3800 -1200 100 R 50 50 1 1 B
394
+X VDDIO2 36 100 1900 100 D 50 50 1 1 W
395
+X PB9/CAN_TX/DAC_EXTI9/I2C1_SDA/I2S2_WS/IR_OUT/SPI2_NSS/TIM17_CH1 46 -3800 -800 100 R 50 50 1 1 B
396
+X ADC_IN7/COMP2_OUT/I2S1_SD/SPI1_MOSI/TIM14_CH1/TIM17_CH1/TIM1_CH1N/TIM3_CH2/TSC_G2_IO4/PA7 17 3800 -600 100 L 50 50 1 1 B
397
+X PB14/I2C2_SDA/I2S2_MCK/SPI2_MISO/TIM15_CH1/TIM1_CH2N/TSC_G6_IO4/USART3_DE/USART3_RTS 27 -3800 -1300 100 R 50 50 1 1 B
398
+X SYS_SWCLK/USART2_TX/PA14 37 3800 -1300 100 L 50 50 1 1 B
399
+X VSS 47 0 -1800 100 U 50 50 1 1 W
400
+X PB0/ADC_IN8/TIM1_CH2N/TIM3_CH3/TSC_G3_IO2/USART3_CK 18 -3800 100 100 R 50 50 1 1 B
401
+X PB15/I2S2_SD/RTC_REFIN/SPI2_MOSI/SYS_WKUP7/TIM15_CH1N/TIM15_CH2/TIM1_CH3N 28 -3800 -1400 100 R 50 50 1 1 B
402
+X I2S1_WS/SPI1_NSS/TIM2_CH1/TIM2_ETR/USART2_RX/USART4_DE/USART4_RTS/PA15 38 3800 -1400 100 L 50 50 1 1 B
403
+X VDD 48 -100 1900 100 D 50 50 1 1 W
404
+X PB1/ADC_IN9/TIM14_CH1/TIM1_CH3N/TIM3_CH4/TSC_G3_IO3/USART3_DE/USART3_RTS 19 -3800 0 100 R 50 50 1 1 B
405
+X CRS_SYNC/RCC_MCO/TIM1_CH1/USART1_CK/PA8 29 3800 -700 100 L 50 50 1 1 B
406
+X PB3/I2S1_CK/SPI1_SCK/TIM2_CH2/TSC_G5_IO1 39 -3800 -200 100 R 50 50 1 1 B
407
+ENDDRAW
408
+ENDDEF
409
+#
410
+# SW_Push
411
+#
412
+DEF SW_Push SW 0 40 N N 1 F N
413
+F0 "SW" 50 100 50 H V L CNN
414
+F1 "SW_Push" 0 -60 50 H V C CNN
415
+F2 "" 0 200 50 H V C CNN
416
+F3 "" 0 200 50 H V C CNN
417
+DRAW
418
+C -80 0 20 0 1 0 N
419
+C 80 0 20 0 1 0 N
420
+P 2 0 1 0 0 50 0 120 N
421
+P 2 0 1 0 100 50 -100 50 N
422
+X 1 1 -200 0 100 R 50 50 0 1 P
423
+X 2 2 200 0 100 L 50 50 0 1 P
424
+ENDDRAW
425
+ENDDEF
426
+#
427
+# SW_SPDT
428
+#
429
+DEF SW_SPDT SW 0 0 Y N 1 F N
430
+F0 "SW" 0 170 50 H V C CNN
431
+F1 "SW_SPDT" 0 -200 50 H V C CNN
432
+F2 "" 0 0 50 H V C CNN
433
+F3 "" 0 0 50 H V C CNN
434
+DRAW
435
+C -80 0 20 0 0 0 N
436
+C 80 -100 20 0 0 0 N
437
+C 80 100 20 0 1 0 N
438
+P 2 0 1 0 -60 10 65 90 N
439
+X A 1 200 100 100 L 50 50 1 1 P
440
+X B 2 -200 0 100 R 50 50 1 1 P
441
+X C 3 200 -100 100 L 50 50 1 1 P
442
+ENDDRAW
443
+ENDDEF
444
+#
445
+# Screw_Terminal_1x02
446
+#
447
+DEF Screw_Terminal_1x02 J 0 30 Y N 1 F N
448
+F0 "J" 0 250 50 H V C TNN
449
+F1 "Screw_Terminal_1x02" -150 0 50 V V C TNN
450
+F2 "" 0 -225 50 H I C CNN
451
+F3 "" -25 0 50 H I C CNN
452
+$FPLIST
453
+ bornier2
454
+ TerminalBlock*2pol
455
+$ENDFPLIST
456
+DRAW
457
+C 25 -100 50 0 1 10 N
458
+C 25 100 50 0 1 10 N
459
+S -50 175 100 -175 0 1 10 f
460
+P 2 0 1 10 -15 -75 50 -140 N
461
+P 2 0 1 10 0 140 65 75 N
462
+P 2 0 1 10 50 60 -15 125 N
463
+P 2 0 1 10 65 -125 0 -60 N
464
+X ~ 1 200 100 100 L 50 50 1 1 P
465
+X ~ 2 200 -100 100 L 50 50 1 1 P
466
+ENDDRAW
467
+ENDDEF
468
+#
469
+# VBUS
470
+#
471
+DEF VBUS #PWR 0 0 Y Y 1 F P
472
+F0 "#PWR" 0 -150 50 H I C CNN
473
+F1 "VBUS" 0 140 50 H V C CNN
474
+F2 "" 0 0 50 H V C CNN
475
+F3 "" 0 0 50 H V C CNN
476
+DRAW
477
+P 2 0 1 0 -30 50 0 100 N
478
+P 2 0 1 0 0 0 0 100 N
479
+P 2 0 1 0 0 100 30 50 N
480
+X VBUS 1 0 0 0 U 50 50 1 1 W N
481
+ENDDRAW
482
+ENDDEF
483
+#
484
+#End Library

+ 23
- 0
pd-buddy.csv View File

@@ -0,0 +1,23 @@
1
+Id,Designator,Package,Quantity,Designation,Supplier and ref
2
+1,C1,C_0805,1,1.0μF,mouser
3
+2,C2,C_0805,1,2.2μF,mouser
4
+3,"C3,C4,C5,C6,C7,C8,C10,C11",C_0805,8,0.1μF,stock
5
+4,"C9,C12",C_0805,2,1μF,mouser
6
+5,D1,D_DO-41_SOD81_P2.54mm_Vertical_AnodeUp,1,1N5819,stock
7
+6,"D2,D3",D_SOD-323,2,CZRF52C5V6,mouser
8
+7,J1,TerminalBlock_Pheonix_MPT-2.54mm_2pol,1,5-20 V⎓,mouser
9
+8,P1,Amphenol-12401548E4#2A,1,12401548E4#2A,mouser
10
+9,P2,Pin_Header_Straight_2x05_Pitch1.27mm_SMD,1,SWD,mouser
11
+10,Q1,SOT-23,1,MMBT2222ALT1G,mouser
12
+11,Q2,SOIC-8_3.9x4.9mm_Pitch1.27mm,1,DMP4015SSS,mouser
13
+12,"R1,R3,R4,R8",R_0805,4,2kΩ,stock
14
+13,"R2,R5,R6,R7",R_0805,4,10kΩ,stock
15
+14,R9,R_0805,1,4.7kΩ,stock
16
+15,SW1,SW_SPDT_PCM12,1,BOOT,mouser
17
+16,SW2,SW_SPST_SKQG,1,Setup,stock
18
+17,U1,SOT89-3_Housing,1,AP2204R-3.3TRG1,mouser
19
+18,U2,LQFP-48_7x7mm_Pitch0.5mm,1,STM32F072CBTx,mouser
20
+19,U3,MLP-14,1,FUSB302B,mouser
21
+20,R10,R_0805,1,300Ω,mouser
22
+21,D4,LED_0805,1,NOPWR,mouser
23
+22,"MK1,MK2",MountingHole_3.5mm_Pad_Via,2,3.5mm,n/a

+ 37
- 0
pd-buddy.dcm View File

@@ -0,0 +1,37 @@
1
+EESchema-DOCLIB  Version 2.0
2
+#
3
+$CMP 12401548E4#2A
4
+D Amphenol USB TYPE-C, RA RCPT, PCB MOUNT
5
+K USB, Type-C, Connector
6
+$ENDCMP
7
+#
8
+$CMP AP2204R-3.3TRG1
9
+D Diodes Incorporated LDO Voltage Regulators 150mA CMOS LDO 3.3V
10
+K regulator LDO 3.3V
11
+$ENDCMP
12
+#
13
+$CMP AP2204RA-3.3TRG1
14
+D Diodes Incorporated LDO Voltage Regulators 150mA CMOS LDO 3.3V
15
+K regulator LDO 3.3V
16
+$ENDCMP
17
+#
18
+$CMP BUK9Y107-80E
19
+D NXP MOSFET N-channel 80 V 107 mo
20
+K NMOS n-mos n-mosfet transistor
21
+$ENDCMP
22
+#
23
+$CMP DMP4015SSS
24
+D Diodes Incorporated MOSFET BVDSS: 41V-60 1V-60V SO-8 T&R 2.5K
25
+K pmos p-mos p-mosfet transistor
26
+$ENDCMP
27
+#
28
+$CMP FUSB302B
29
+D Fairchild USB Interface IC 302B Type-C Control IC with PD
30
+K USB PD PHY
31
+$ENDCMP
32
+#
33
+$CMP VBUS
34
+K POWER, PWR
35
+$ENDCMP
36
+#
37
+#End Doc Library

+ 2436
- 0
pd-buddy.kicad_pcb
File diff suppressed because it is too large
View File


+ 185
- 0
pd-buddy.lib View File

@@ -0,0 +1,185 @@
1
+EESchema-LIBRARY Version 2.3
2
+#encoding utf-8
3
+#
4
+# 12401548E4#2A
5
+#
6
+DEF 12401548E4#2A P 0 40 Y Y 1 F N
7
+F0 "P" 300 -750 60 H V C CNN
8
+F1 "12401548E4#2A" 0 650 60 H V C CNN
9
+F2 "" 0 0 60 H I C CNN
10
+F3 "https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401548E4%7e2A" 300 -750 60 H I C CNN
11
+DRAW
12
+S 400 600 -400 -700 0 1 0 f
13
+X GND A1 600 500 200 L 50 50 1 1 W
14
+X GND B1 -600 -600 200 R 50 50 1 1 W
15
+X SSTXp1 A2 600 400 200 L 50 50 1 1 O
16
+X SSTXp2 B2 -600 -500 200 R 50 50 1 1 O
17
+X SSTXn1 A3 600 300 200 L 50 50 1 1 O
18
+X SSTXn2 B3 -600 -400 200 R 50 50 1 1 O
19
+X Vbus A4 600 200 200 L 50 50 1 1 W
20
+X Vbus B4 -600 -300 200 R 50 50 1 1 W
21
+X CC1 A5 600 100 200 L 50 50 1 1 B
22
+X CC2 B5 -600 -200 200 R 50 50 1 1 B
23
+X Dp1 A6 600 0 200 L 50 50 1 1 B
24
+X Dp2 B6 -600 -100 200 R 50 50 1 1 B
25
+X Dn1 A7 600 -100 200 L 50 50 1 1 B
26
+X Dn2 B7 -600 0 200 R 50 50 1 1 B
27
+X SBU1 A8 600 -200 200 L 50 50 1 1 B
28
+X SBU2 B8 -600 100 200 R 50 50 1 1 B
29
+X Vbus A9 600 -300 200 L 50 50 1 1 W
30
+X Vbus B9 -600 200 200 R 50 50 1 1 W
31
+X SHELL SH 0 -900 200 U 50 50 1 1 P
32
+X SSRXn2 A10 600 -400 200 L 50 50 1 1 I
33
+X SSRXn1 B10 -600 300 200 R 50 50 1 1 I
34
+X SSRXp2 A11 600 -500 200 L 50 50 1 1 I
35
+X SSRXp1 B11 -600 400 200 R 50 50 1 1 I
36
+X GND A12 600 -600 200 L 50 50 1 1 W
37
+X GND B12 -600 500 200 R 50 50 1 1 W
38
+ENDDRAW
39
+ENDDEF
40
+#
41
+# AP2204R-3.3TRG1
42
+#
43
+DEF AP2204R-3.3TRG1 U 0 40 Y Y 1 F N
44
+F0 "U" 200 -300 60 H V C CNN
45
+F1 "AP2204R-3.3TRG1" 0 200 60 H V C CNN
46
+F2 "TO_SOT_Packages_SMD:SOT89-3_Housing" 100 -400 60 H I C CNN
47
+F3 "http://www.diodes.com/_files/datasheets/AP2204.pdf" 200 -300 60 H I C CNN
48
+DRAW
49
+S -300 100 300 -200 0 1 0 f
50
+X VIN 1 -400 0 100 R 50 50 1 1 W
51
+X GND 2 0 -300 100 U 50 50 1 1 W
52
+X VOUT 3 400 0 100 L 50 50 1 1 w
53
+ENDDRAW
54
+ENDDEF
55
+#
56
+# AP2204RA-3.3TRG1
57
+#
58
+DEF AP2204RA-3.3TRG1 U 0 40 Y Y 1 F N
59
+F0 "U" 200 -300 60 H V C CNN
60
+F1 "AP2204RA-3.3TRG1" 0 200 60 H V C CNN
61
+F2 "TO_SOT_Packages_SMD:SOT89-3_Housing" 100 -400 60 H I C CNN
62
+F3 "http://www.diodes.com/_files/datasheets/AP2204.pdf" 200 -300 60 H I C CNN
63
+DRAW
64
+S -300 100 300 -200 0 1 0 f
65
+X VIN 1 -400 0 100 R 50 50 1 1 W
66
+X GND 2 0 -300 100 U 50 50 1 1 W
67
+X VOUT 3 400 0 100 L 50 50 1 1 w
68
+ENDDRAW
69
+ENDDEF
70
+#
71
+# BUK9Y107-80E
72
+#
73
+DEF BUK9Y107-80E Q 0 0 Y N 1 F N
74
+F0 "Q" 200 50 50 H V L CNN
75
+F1 "BUK9Y107-80E" 200 -50 50 H V L CNN
76
+F2 "" 200 100 50 H V C CNN
77
+F3 "http://www.nxp.com/documents/data_sheet/BUK9Y107-80E.pdf" 200 50 50 H I C CNN
78
+$FPLIST
79
+ SOT-669
80
+$ENDFPLIST
81
+DRAW
82
+C 65 0 111 0 1 10 N
83
+C 100 -70 11 0 1 0 F
84
+C 100 70 11 0 1 0 F
85
+P 2 0 1 0 30 -70 100 -70 N
86
+P 2 0 1 10 30 -50 30 -90 N
87
+P 2 0 1 0 30 0 100 0 N
88
+P 2 0 1 10 30 20 30 -20 N
89
+P 2 0 1 0 30 70 100 70 N
90
+P 2 0 1 10 30 90 30 50 N
91
+P 2 0 1 0 100 -70 100 -100 N
92
+P 2 0 1 0 100 -70 100 0 N
93
+P 2 0 1 0 100 100 100 70 N
94
+P 3 0 1 10 10 75 10 -75 10 -75 N
95
+P 4 0 1 0 40 0 80 15 80 -15 40 0 F
96
+P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
97
+P 4 0 1 0 110 20 115 15 145 15 150 10 N
98
+P 4 0 1 0 130 15 115 -10 145 -10 130 15 N
99
+X S 1 100 -200 100 U 50 50 1 1 P
100
+X S 2 100 -200 100 U 50 50 1 1 P N
101
+X S 3 100 -200 100 U 50 50 1 1 P N
102
+X G 4 -200 0 210 R 50 50 1 1 I
103
+X D 5 100 200 100 D 50 50 1 1 P
104
+ENDDRAW
105
+ENDDEF
106
+#
107
+# DMP4015SSS
108
+#
109
+DEF DMP4015SSS Q 0 0 Y N 1 F N
110
+F0 "Q" 200 50 50 H V L CNN
111
+F1 "DMP4015SSS" 200 -50 50 H V L CNN
112
+F2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" 200 100 50 H I C CNN
113
+F3 "http://www.diodes.com/_files/datasheets/DMP4015SSS.pdf" 200 50 50 H I C CNN
114
+DRAW
115
+C 65 0 111 0 1 10 N
116
+C 100 -70 11 0 1 0 F
117
+C 100 70 11 0 1 0 F
118
+P 2 0 1 0 30 -70 100 -70 N
119
+P 2 0 1 10 30 -50 30 -90 N
120
+P 2 0 1 0 30 0 100 0 N
121
+P 2 0 1 10 30 20 30 -20 N
122
+P 2 0 1 0 30 70 100 70 N
123
+P 2 0 1 10 30 90 30 50 N
124
+P 2 0 1 0 100 -70 100 -100 N
125
+P 2 0 1 0 100 -70 100 0 N
126
+P 2 0 1 0 100 100 100 70 N
127
+P 3 0 1 10 10 75 10 -75 10 -75 N
128
+P 4 0 1 0 90 0 50 -15 50 15 90 0 F
129
+P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
130
+P 4 0 1 0 110 -20 115 -15 145 -15 150 -10 N
131
+P 4 0 1 0 130 -15 115 10 145 10 130 -15 N
132
+X S 1 100 -200 100 U 50 50 1 1 P
133
+X S 2 100 -200 100 U 50 50 1 1 P N
134
+X S 3 100 -200 100 U 50 50 1 1 P N
135
+X G 4 -200 0 210 R 50 50 1 1 I
136
+X D 5 100 200 100 D 50 50 1 1 P
137
+X D 6 100 200 100 D 50 50 1 1 P N
138
+X D 7 100 200 100 D 50 50 1 1 P N
139
+X D 8 100 200 100 D 50 50 1 1 P N
140
+ENDDRAW
141
+ENDDEF
142
+#
143
+# FUSB302B
144
+#
145
+DEF FUSB302B U 0 40 Y Y 1 F N
146
+F0 "U" 200 -500 60 H V C CNN
147
+F1 "FUSB302B" -300 -500 60 H V C CNN
148
+F2 "pd-buddy:MLP-14" 100 -600 60 H I C CNN
149
+F3 "https://www.fairchildsemi.com/datasheets/FU/FUSB302B.pdf" 200 -500 60 H I C CNN
150
+DRAW
151
+S -300 400 300 -400 0 1 0 f
152
+X CC2 1 -400 -100 100 R 50 50 1 1 B
153
+X VBUS 2 -400 100 100 R 50 50 1 1 P
154
+X VDD 3 -100 500 100 D 50 50 1 1 W
155
+X VDD 4 -100 500 100 D 50 50 1 1 W N
156
+X INT_N 5 400 -100 100 L 50 50 1 1 O
157
+X SCL 6 400 0 100 L 50 50 1 1 I
158
+X SDA 7 400 100 100 L 50 50 1 1 B
159
+X GND 8 0 -500 100 U 50 50 1 1 W
160
+X GND 9 0 -500 100 U 50 50 1 1 W N
161
+X CC1 10 -400 0 100 R 50 50 1 1 B
162
+X CC1 11 -400 0 100 R 50 50 1 1 B N
163
+X VCONN 12 100 500 100 D 50 50 1 1 W
164
+X VCONN 13 100 500 100 D 50 50 1 1 W N
165
+X CC2 14 -400 -100 100 R 50 50 1 1 B N
166
+X GND 15 0 -500 100 U 50 50 1 1 W N
167
+ENDDRAW
168
+ENDDEF
169
+#
170
+# VBUS
171
+#
172
+DEF VBUS #PWR 0 0 Y Y 1 F P
173
+F0 "#PWR" 0 -150 50 H I C CNN
174
+F1 "VBUS" 0 140 50 H V C CNN
175
+F2 "" 0 0 50 H V C CNN
176
+F3 "" 0 0 50 H V C CNN
177
+DRAW
178
+P 2 0 1 0 -30 50 0 100 N
179
+P 2 0 1 0 0 0 0 100 N
180
+P 2 0 1 0 0 100 30 50 N
181
+X VBUS 1 0 0 0 U 50 50 1 1 W N
182
+ENDDRAW
183
+ENDDEF
184
+#
185
+#End Library

+ 44
- 0
pd-buddy.pretty/Amphenol-12401548E4#2A.kicad_mod View File

@@ -0,0 +1,44 @@
1
+(module Amphenol-12401548E4#2A (layer F.Cu) (tedit 58891EFE)
2
+  (fp_text reference REF** (at 0 -2) (layer F.Fab)
3
+    (effects (font (size 1 1) (thickness 0.15)))
4
+  )
5
+  (fp_text value Amphenol-12401548E4#2A (at 0 10) (layer F.Fab)
6
+    (effects (font (size 1 1) (thickness 0.15)))
7
+  )
8
+  (fp_line (start 3.25 -1.06) (end 4.75 -1.06) (layer F.SilkS) (width 0.12))
9
+  (fp_line (start 4.75 -1.06) (end 4.75 0.5) (layer F.SilkS) (width 0.12))
10
+  (fp_line (start -4.75 -1.06) (end -4.75 0.5) (layer F.SilkS) (width 0.12))
11
+  (fp_line (start -4.75 -1.06) (end -3.25 -1.06) (layer F.SilkS) (width 0.12))
12
+  (fp_line (start 4.75 2) (end 4.75 6.25) (layer F.SilkS) (width 0.12))
13
+  (fp_line (start -4.75 2) (end -4.75 6.25) (layer F.SilkS) (width 0.12))
14
+  (pad B6 thru_hole circle (at 0.4 0.65) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
15
+  (pad B7 thru_hole circle (at -0.4 0.65) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
16
+  (pad SH thru_hole circle (at -4.13 1.25) (size 1.4 1.4) (drill 1.1) (layers *.Cu *.Mask))
17
+  (pad B9 thru_hole circle (at -1.2 0.65) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
18
+  (pad B4 thru_hole circle (at 1.2 0.65) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
19
+  (pad B12 thru_hole circle (at -2.8 0.65) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
20
+  (pad B5 thru_hole circle (at 0.8 1.35) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
21
+  (pad B8 thru_hole circle (at -0.8 1.35) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
22
+  (pad B3 thru_hole circle (at 1.6 1.35) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
23
+  (pad B10 thru_hole circle (at -1.6 1.35) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
24
+  (pad B2 thru_hole circle (at 2.4 1.35) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
25
+  (pad B11 thru_hole circle (at -2.4 1.35) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
26
+  (pad A1 smd rect (at -2.75 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
27
+  (pad A2 smd rect (at -2.25 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
28
+  (pad A3 smd rect (at -1.75 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
29
+  (pad A4 smd rect (at -1.25 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
30
+  (pad A5 smd rect (at -0.75 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
31
+  (pad A6 smd rect (at -0.25 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
32
+  (pad A7 smd rect (at 0.25 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
33
+  (pad A12 smd rect (at 2.75 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
34
+  (pad A10 smd rect (at 1.75 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
35
+  (pad A9 smd rect (at 1.25 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
36
+  (pad A8 smd rect (at 0.75 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
37
+  (pad A11 smd rect (at 2.25 -0.66) (size 0.3 0.7) (layers F.Cu F.Paste F.Mask))
38
+  (pad B1 thru_hole circle (at 2.8 0.65) (size 0.65 0.65) (drill 0.4) (layers *.Cu *.Mask))
39
+  (pad SH thru_hole circle (at 4.13 1.25) (size 1.4 1.4) (drill 1.1) (layers *.Cu *.Mask))
40
+  (pad SH thru_hole circle (at 4.49 7.2) (size 1.4 1.4) (drill 1.1) (layers *.Cu *.Mask))
41
+  (pad SH thru_hole circle (at -4.49 7.2) (size 1.4 1.4) (drill 1.1) (layers *.Cu *.Mask))
42
+  (pad "" np_thru_hole circle (at 3.6 0) (size 0.95 0.95) (drill 0.95) (layers *.Cu *.Mask))
43
+  (pad "" np_thru_hole circle (at -3.6 0) (size 0.65 0.65) (drill 0.65) (layers *.Cu *.Mask))
44
+)

+ 39
- 0
pd-buddy.pretty/MLP-14.kicad_mod View File

@@ -0,0 +1,39 @@
1
+(module MLP-14 (layer F.Cu) (tedit 588C103C)
2
+  (fp_text reference REF** (at 0 2.5) (layer F.Fab)
3
+    (effects (font (size 1 1) (thickness 0.15)))
4
+  )
5
+  (fp_text value MLP-14 (at 0 -2.5) (layer F.Fab)
6
+    (effects (font (size 1 1) (thickness 0.15)))
7
+  )
8
+  (fp_line (start 1.31 -1.31) (end 1.31 -0.75) (layer F.SilkS) (width 0.12))
9
+  (fp_line (start 1 -1.31) (end 1.31 -1.31) (layer F.SilkS) (width 0.12))
10
+  (fp_line (start -1 1.31) (end -1.31 1.31) (layer F.SilkS) (width 0.12))
11
+  (fp_line (start -1.31 1.31) (end -1.31 0.75) (layer F.SilkS) (width 0.12))
12
+  (fp_line (start -1.31 -1.31) (end -1.31 -0.75) (layer F.SilkS) (width 0.12))
13
+  (fp_line (start 1.31 1.31) (end 1.31 0.75) (layer F.SilkS) (width 0.12))
14
+  (fp_line (start 1 1.31) (end 1.31 1.31) (layer F.SilkS) (width 0.12))
15
+  (fp_line (start -1 -1.31) (end -1.31 -1.31) (layer F.SilkS) (width 0.12))
16
+  (fp_line (start -1.75 -0.75) (end -1.31 -0.75) (layer F.SilkS) (width 0.12))
17
+  (pad 2 smd rect (at -1.215 0) (size 0.58 0.3) (layers F.Cu F.Paste F.Mask))
18
+  (pad 1 smd rect (at -1.215 -0.5) (size 0.58 0.3) (layers F.Cu F.Paste F.Mask))
19
+  (pad 3 smd rect (at -1.215 0.5) (size 0.58 0.3) (layers F.Cu F.Paste F.Mask))
20
+  (pad 8 smd rect (at 1.215 0.5) (size 0.58 0.3) (layers F.Cu F.Paste F.Mask))
21
+  (pad 10 smd rect (at 1.215 -0.5) (size 0.58 0.3) (layers F.Cu F.Paste F.Mask))
22
+  (pad 9 smd rect (at 1.215 0) (size 0.58 0.3) (layers F.Cu F.Paste F.Mask))
23
+  (pad 4 smd rect (at -0.75 1.215) (size 0.3 0.58) (layers F.Cu F.Paste F.Mask))
24
+  (pad 5 smd rect (at -0.25 1.215) (size 0.3 0.58) (layers F.Cu F.Paste F.Mask))
25
+  (pad 6 smd rect (at 0.25 1.215) (size 0.3 0.58) (layers F.Cu F.Paste F.Mask))
26
+  (pad 7 smd rect (at 0.75 1.215) (size 0.3 0.58) (layers F.Cu F.Paste F.Mask))
27
+  (pad 11 smd rect (at 0.75 -1.215) (size 0.3 0.58) (layers F.Cu F.Paste F.Mask))
28
+  (pad 12 smd rect (at 0.25 -1.215) (size 0.3 0.58) (layers F.Cu F.Paste F.Mask))
29
+  (pad 13 smd rect (at -0.25 -1.215) (size 0.3 0.58) (layers F.Cu F.Paste F.Mask))
30
+  (pad 14 smd rect (at -0.75 -1.215) (size 0.3 0.58) (layers F.Cu F.Paste F.Mask))
31
+  (pad 15 smd rect (at -0.3625 0.3625) (size 0.725 0.725) (layers F.Cu F.Paste F.Mask)
32
+    (solder_paste_margin_ratio -0.2))
33
+  (pad 15 smd rect (at 0.3625 0.3625) (size 0.725 0.725) (layers F.Cu F.Paste F.Mask)
34
+    (solder_paste_margin_ratio -0.2))
35
+  (pad 15 smd rect (at -0.3625 -0.3625) (size 0.725 0.725) (layers F.Cu F.Paste F.Mask)
36
+    (solder_paste_margin_ratio -0.2))
37
+  (pad 15 smd rect (at 0.3625 -0.3625) (size 0.725 0.725) (layers F.Cu F.Paste F.Mask)
38
+    (solder_paste_margin_ratio -0.2))
39
+)

+ 118
- 0
pd-buddy.pro View File

@@ -0,0 +1,118 @@
1
+update=Wed 01 Feb 2017 05:36:05 PM EST
2
+version=1
3
+last_client=kicad
4
+[pcbnew]
5
+version=1
6
+LastNetListRead=
7
+UseCmpFile=1
8
+PadDrill=0.600000000000
9
+PadDrillOvalY=0.600000000000
10
+PadSizeH=1.500000000000
11
+PadSizeV=1.500000000000
12
+PcbTextSizeV=1.500000000000
13
+PcbTextSizeH=1.500000000000
14
+PcbTextThickness=0.300000000000
15
+ModuleTextSizeV=1.000000000000
16
+ModuleTextSizeH=1.000000000000
17
+ModuleTextSizeThickness=0.150000000000
18
+SolderMaskClearance=0.000000000000
19
+SolderMaskMinWidth=0.000000000000
20
+DrawSegmentWidth=0.200000000000
21
+BoardOutlineThickness=0.100000000000
22
+ModuleOutlineThickness=0.150000000000
23
+[cvpcb]
24
+version=1
25
+NetIExt=net
26
+
27
+[general]
28
+version=1
29
+[eeschema]
30
+version=1
31
+LibDir=/usr/share/kicad/library
32
+[eeschema/libraries]
33
+LibName1=power
34
+LibName2=device
35
+LibName3=transistors
36
+LibName4=conn
37
+LibName5=linear
38
+LibName6=regul
39
+LibName7=74xx
40
+LibName8=cmos4000
41
+LibName9=adc-dac
42
+LibName10=memory
43
+LibName11=xilinx
44
+LibName12=microcontrollers
45
+LibName13=dsp
46
+LibName14=microchip
47
+LibName15=analog_switches
48
+LibName16=motorola
49
+LibName17=texas
50
+LibName18=intel
51
+LibName19=audio
52
+LibName20=interface
53
+LibName21=digital-audio
54
+LibName22=philips
55
+LibName23=display
56
+LibName24=cypress
57
+LibName25=siliconi
58
+LibName26=opto
59
+LibName27=atmel
60
+LibName28=contrib
61
+LibName29=valves
62
+LibName30=74xgxx
63
+LibName31=ac-dc
64
+LibName32=actel
65
+LibName33=allegro
66
+LibName34=Altera
67
+LibName35=analog_devices
68
+LibName36=battery_management
69
+LibName37=bbd
70
+LibName38=brooktre
71
+LibName39=cmos_ieee
72
+LibName40=dc-dc
73
+LibName41=diode
74
+LibName42=elec-unifil
75
+LibName43=ESD_Protection
76
+LibName44=ftdi
77
+LibName45=gennum
78
+LibName46=graphic
79
+LibName47=hc11
80
+LibName48=ir
81
+LibName49=Lattice
82
+LibName50=logo
83
+LibName51=maxim
84
+LibName52=mechanical
85
+LibName53=microchip_dspic33dsc
86
+LibName54=microchip_pic10mcu
87
+LibName55=microchip_pic12mcu
88
+LibName56=microchip_pic16mcu
89
+LibName57=microchip_pic18mcu
90
+LibName58=microchip_pic32mcu
91
+LibName59=motor_drivers
92
+LibName60=motors
93
+LibName61=msp430
94
+LibName62=nordicsemi
95
+LibName63=nxp_armmcu
96
+LibName64=onsemi
97
+LibName65=Oscillators
98
+LibName66=powerint
99
+LibName67=Power_Management
100
+LibName68=pspice
101
+LibName69=references
102
+LibName70=relays
103
+LibName71=rfcom
104
+LibName72=sensors
105
+LibName73=silabs
106
+LibName74=stm32
107
+LibName75=stm8
108
+LibName76=supertex
109
+LibName77=switches
110
+LibName78=transf
111
+LibName79=ttl_ieee
112
+LibName80=video
113
+LibName81=wiznet
114
+LibName82=Worldsemi
115
+LibName83=Xicor
116
+LibName84=zetex
117
+LibName85=Zilog
118
+LibName86=pd-buddy

+ 229
- 0
pd-buddy.sch View File

@@ -0,0 +1,229 @@
1
+EESchema Schematic File Version 2
2
+LIBS:power
3
+LIBS:device
4
+LIBS:transistors
5
+LIBS:conn
6
+LIBS:linear
7
+LIBS:regul
8
+LIBS:74xx
9
+LIBS:cmos4000
10
+LIBS:adc-dac
11
+LIBS:memory
12
+LIBS:xilinx
13
+LIBS:microcontrollers
14
+LIBS:dsp
15
+LIBS:microchip
16
+LIBS:analog_switches
17
+LIBS:motorola
18
+LIBS:texas
19
+LIBS:intel
20
+LIBS:audio
21
+LIBS:interface
22
+LIBS:digital-audio
23
+LIBS:philips
24
+LIBS:display
25
+LIBS:cypress
26
+LIBS:siliconi
27
+LIBS:opto
28
+LIBS:atmel
29
+LIBS:contrib
30
+LIBS:valves
31
+LIBS:74xgxx
32
+LIBS:ac-dc
33
+LIBS:actel
34
+LIBS:allegro
35
+LIBS:Altera
36
+LIBS:analog_devices
37
+LIBS:battery_management
38
+LIBS:bbd
39
+LIBS:brooktre
40
+LIBS:cmos_ieee
41
+LIBS:dc-dc
42
+LIBS:diode
43
+LIBS:elec-unifil
44
+LIBS:ESD_Protection
45
+LIBS:ftdi
46
+LIBS:gennum
47
+LIBS:graphic
48
+LIBS:hc11
49
+LIBS:ir
50
+LIBS:Lattice
51
+LIBS:logo
52
+LIBS:maxim
53
+LIBS:mechanical
54
+LIBS:microchip_dspic33dsc
55
+LIBS:microchip_pic10mcu
56
+LIBS:microchip_pic12mcu
57
+LIBS:microchip_pic16mcu
58
+LIBS:microchip_pic18mcu
59
+LIBS:microchip_pic32mcu
60
+LIBS:motor_drivers
61
+LIBS:motors
62
+LIBS:msp430
63
+LIBS:nordicsemi
64
+LIBS:nxp_armmcu
65
+LIBS:onsemi
66
+LIBS:Oscillators
67
+LIBS:powerint
68
+LIBS:Power_Management
69
+LIBS:pspice
70
+LIBS:references
71
+LIBS:relays
72
+LIBS:rfcom
73
+LIBS:sensors
74
+LIBS:silabs
75
+LIBS:stm32
76
+LIBS:stm8
77
+LIBS:supertex
78
+LIBS:switches
79
+LIBS:transf
80
+LIBS:ttl_ieee
81
+LIBS:video
82
+LIBS:wiznet
83
+LIBS:Worldsemi
84
+LIBS:Xicor
85
+LIBS:zetex
86
+LIBS:Zilog
87
+LIBS:pd-buddy
88
+LIBS:pd-buddy-cache
89
+EELAYER 25 0
90
+EELAYER END
91
+$Descr USLetter 11000 8500
92
+encoding utf-8
93
+Sheet 1 6
94
+Title "PD Buddy"
95
+Date ""
96
+Rev "0.1"
97
+Comp ""
98
+Comment1 ""
99
+Comment2 ""
100
+Comment3 ""
101
+Comment4 ""
102
+$EndDescr
103
+$Sheet
104
+S 4700 1300 1250 600 
105
+U 588F9A21
106
+F0 "Voltage Regulator" 60
107
+F1 "voltage_regulator.sch" 60
108
+$EndSheet
109
+Wire Wire Line
110
+	3200 2800 4800 2800
111
+Wire Wire Line
112
+	3200 2900 4800 2900
113
+$Sheet
114
+S 4700 3900 1300 800 
115
+U 588FD270
116
+F0 "Microcontroller" 60
117
+F1 "microcontroller.sch" 60
118
+F2 "SCL" O R 6000 4100 60 
119
+F3 "SDA" B R 6000 4200 60 
120
+F4 "INT_N" B R 6000 4000 60 
121
+F5 "OUT_CTRL" O R 6000 4400 60 
122
+F6 "D+" B L 4700 4000 60 
123
+F7 "D-" B L 4700 4100 60 
124
+$EndSheet
125
+$Sheet
126
+S 7000 4300 800  350 
127
+U 588FA3A4
128
+F0 "Output" 60
129
+F1 "output.sch" 60
130
+F2 "OUT_CTRL" I L 7000 4400 60 
131
+$EndSheet
132
+$Sheet
133
+S 4800 2700 800  600 
134
+U 588FB1D7
135
+F0 "PD PHY" 60
136
+F1 "pd_phy.sch" 60
137
+F2 "CC1" B L 4800 2800 60 
138
+F3 "CC2" B L 4800 2900 60 
139
+F4 "SDA" B R 5600 2800 60 
140
+F5 "SCL" I R 5600 2900 60 
141
+F6 "INT_N" O R 5600 3000 60 
142
+$EndSheet
143
+Wire Wire Line
144
+	4700 4000 4000 4000
145
+Wire Wire Line
146
+	4000 4000 4000 3100
147
+Wire Wire Line
148
+	4000 3100 3200 3100
149
+Wire Wire Line
150
+	3200 3200 3900 3200
151
+Wire Wire Line
152
+	3900 3200 3900 4100
153
+Wire Wire Line
154
+	3900 4100 4700 4100
155
+Wire Wire Line
156
+	6000 4100 6200 4100
157
+Wire Wire Line
158
+	6200 4100 6200 2900
159
+Wire Wire Line
160
+	6200 2900 5600 2900
161
+Wire Wire Line
162
+	6000 4200 6300 4200
163
+Wire Wire Line
164
+	6300 4200 6300 2800
165
+Wire Wire Line
166
+	6300 2800 5600 2800
167
+Wire Wire Line
168
+	6000 4000 6100 4000
169
+Wire Wire Line
170
+	6100 4000 6100 3000
171
+Wire Wire Line
172
+	6100 3000 5600 3000
173
+Wire Wire Line
174
+	7000 4400 6000 4400
175
+$Sheet
176
+S 2200 2700 1000 600 
177
+U 588FA5F7
178
+F0 "USB Type-C" 60
179
+F1 "usb_type-c.sch" 60
180
+F2 "CC1" B R 3200 2800 60 
181
+F3 "CC2" B R 3200 2900 60 
182
+F4 "D+" B R 3200 3100 60 
183
+F5 "D-" B R 3200 3200 60 
184
+$EndSheet
185
+$Comp
186
+L Mounting_Hole_PAD MK1
187
+U 1 1 5892BB4F
188
+P 4050 5700
189
+F 0 "MK1" H 4050 5950 50  0000 C CNN
190
+F 1 "3.5mm" H 4050 5875 50  0000 C CNN
191
+F 2 "Mounting_Holes:MountingHole_3.5mm_Pad_Via" H 4050 5700 60  0001 C CNN
192
+F 3 "" H 4050 5700 60  0001 C CNN
193
+	1    4050 5700
194
+	1    0    0    -1  
195
+$EndComp
196
+$Comp
197
+L Mounting_Hole_PAD MK2
198
+U 1 1 5892BC07
199
+P 4350 5700
200
+F 0 "MK2" H 4350 5950 50  0000 C CNN
201
+F 1 "3.5mm" H 4350 5875 50  0000 C CNN
202
+F 2 "Mounting_Holes:MountingHole_3.5mm_Pad_Via" H 4350 5700 60  0001 C CNN
203
+F 3 "" H 4350 5700 60  0001 C CNN
204
+	1    4350 5700
205
+	1    0    0    -1  
206
+$EndComp
207
+$Comp
208
+L GND #PWR01
209
+U 1 1 5892BCB7
210
+P 4350 5800
211
+F 0 "#PWR01" H 4350 5550 50  0001 C CNN
212
+F 1 "GND" H 4350 5650 50  0000 C CNN
213
+F 2 "" H 4350 5800 50  0000 C CNN
214
+F 3 "" H 4350 5800 50  0000 C CNN
215
+	1    4350 5800
216
+	1    0    0    -1  
217
+$EndComp
218
+$Comp
219
+L GND #PWR02
220
+U 1 1 5892BD2F
221
+P 4050 5800
222
+F 0 "#PWR02" H 4050 5550 50  0001 C CNN
223
+F 1 "GND" H 4050 5650 50  0000 C CNN
224
+F 2 "" H 4050 5800 50  0000 C CNN
225
+F 3 "" H 4050 5800 50  0000 C CNN
226
+	1    4050 5800
227
+	1    0    0    -1  
228
+$EndComp
229
+$EndSCHEMATC

+ 278
- 0
pd_phy.sch View File

@@ -0,0 +1,278 @@
1
+EESchema Schematic File Version 2
2
+LIBS:power
3
+LIBS:device
4
+LIBS:transistors
5
+LIBS:conn
6
+LIBS:linear
7
+LIBS:regul
8
+LIBS:74xx
9
+LIBS:cmos4000
10
+LIBS:adc-dac
11
+LIBS:memory
12
+LIBS:xilinx
13
+LIBS:microcontrollers
14
+LIBS:dsp
15
+LIBS:microchip
16
+LIBS:analog_switches
17
+LIBS:motorola
18
+LIBS:texas
19
+LIBS:intel
20
+LIBS:audio
21
+LIBS:interface
22
+LIBS:digital-audio
23
+LIBS:philips
24
+LIBS:display
25
+LIBS:cypress
26
+LIBS:siliconi
27
+LIBS:opto
28
+LIBS:atmel
29
+LIBS:contrib
30
+LIBS:valves
31
+LIBS:74xgxx
32
+LIBS:ac-dc
33
+LIBS:actel
34
+LIBS:allegro
35
+LIBS:Altera
36
+LIBS:analog_devices
37
+LIBS:battery_management
38
+LIBS:bbd
39
+LIBS:brooktre
40
+LIBS:cmos_ieee
41
+LIBS:dc-dc
42
+LIBS:diode
43
+LIBS:elec-unifil
44
+LIBS:ESD_Protection
45
+LIBS:ftdi
46
+LIBS:gennum
47
+LIBS:graphic
48
+LIBS:hc11
49
+LIBS:ir
50
+LIBS:Lattice
51
+LIBS:logo
52
+LIBS:maxim
53
+LIBS:mechanical
54
+LIBS:microchip_dspic33dsc
55
+LIBS:microchip_pic10mcu
56
+LIBS:microchip_pic12mcu
57
+LIBS:microchip_pic16mcu
58
+LIBS:microchip_pic18mcu
59
+LIBS:microchip_pic32mcu
60
+LIBS:motor_drivers
61
+LIBS:motors
62
+LIBS:msp430
63
+LIBS:nordicsemi
64
+LIBS:nxp_armmcu
65
+LIBS:onsemi
66
+LIBS:Oscillators
67
+LIBS:powerint
68
+LIBS:Power_Management
69
+LIBS:pspice
70
+LIBS:references
71
+LIBS:relays
72
+LIBS:rfcom
73
+LIBS:sensors
74
+LIBS:silabs
75
+LIBS:stm32
76
+LIBS:stm8
77
+LIBS:supertex
78
+LIBS:switches
79
+LIBS:transf
80
+LIBS:ttl_ieee
81
+LIBS:video
82
+LIBS:wiznet
83
+LIBS:Worldsemi
84
+LIBS:Xicor
85
+LIBS:zetex
86
+LIBS:Zilog
87
+LIBS:pd-buddy
88
+LIBS:pd-buddy-cache
89
+EELAYER 25 0
90
+EELAYER END
91
+$Descr A4 11693 8268
92
+encoding utf-8
93
+Sheet 6 6
94
+Title "PD Buddy"
95
+Date ""
96
+Rev "0.1"
97
+Comp ""
98
+Comment1 ""
99
+Comment2 ""
100
+Comment3 ""
101
+Comment4 "Based on AN-6102 (https://www.fairchildsemi.com/application-notes/AN/AN-6102.pdf)"
102
+$EndDescr
103
+$Comp
104
+L FUSB302B U3
105
+U 1 1 588FB390
106
+P 5300 3600
107
+F 0 "U3" H 5500 3100 60  0000 C CNN
108
+F 1 "FUSB302B" H 5000 3100 60  0000 C CNN
109
+F 2 "pd-buddy:MLP-14" H 5400 3000 60  0001 C CNN
110
+F 3 "https://www.fairchildsemi.com/datasheets/FU/FUSB302B.pdf" H 5500 3100 60  0001 C CNN
111
+	1    5300 3600
112
+	1    0    0    -1  
113
+$EndComp
114
+$Comp
115
+L VBUS #PWR025
116
+U 1 1 588FB397
117
+P 4800 3500
118
+F 0 "#PWR025" H 4800 3350 50  0001 C CNN
119
+F 1 "VBUS" H 4800 3640 50  0000 C CNN
120
+F 2 "" H 4800 3500 50  0000 C CNN
121
+F 3 "" H 4800 3500 50  0000 C CNN
122
+	1    4800 3500
123
+	1    0    0    -1  
124
+$EndComp
125
+$Comp
126
+L +3.3V #PWR026
127
+U 1 1 588FB39E
128
+P 5200 3100
129
+F 0 "#PWR026" H 5200 2950 50  0001 C CNN
130
+F 1 "+3.3V" H 5200 3240 50  0000 C CNN
131
+F 2 "" H 5200 3100 50  0000 C CNN
132
+F 3 "" H 5200 3100 50  0000 C CNN
133
+	1    5200 3100
134
+	1    0    0    -1  
135
+$EndComp
136
+$Comp
137
+L GND #PWR027
138
+U 1 1 588FB3B4
139
+P 5300 4100
140
+F 0 "#PWR027" H 5300 3850 50  0001 C CNN
141
+F 1 "GND" H 5300 3950 50  0000 C CNN
142
+F 2 "" H 5300 4100 50  0000 C CNN
143
+F 3 "" H 5300 4100 50  0000 C CNN
144
+	1    5300 4100
145
+	1    0    0    -1  
146
+$EndComp
147
+Text HLabel 4100 3600 0    60   BiDi ~ 0
148
+CC1
149
+Text HLabel 4100 3700 0    60   BiDi ~ 0
150
+CC2
151
+$Comp
152
+L D_Zener D2
153
+U 1 1 588FB3E3
154
+P 4300 3950
155
+F 0 "D2" H 4300 4050 50  0000 C CNN
156
+F 1 "CZRF52C5V6" H 4300 3850 50  0000 C CNN
157
+F 2 "Diodes_SMD:D_SOD-323" H 4300 3950 50  0001 C CNN
158
+F 3 "" H 4300 3950 50  0000 C CNN
159
+	1    4300 3950
160
+	0    1    1    0   
161
+$EndComp
162
+$Comp
163
+L GND #PWR028
164
+U 1 1 588FB494
165
+P 4600 4100
166
+F 0 "#PWR028" H 4600 3850 50  0001 C CNN
167
+F 1 "GND" H 4600 3950 50  0000 C CNN
168
+F 2 "" H 4600 4100 50  0000 C CNN
169
+F 3 "" H 4600 4100 50  0000 C CNN
170
+	1    4600 4100
171
+	1    0    0    -1  
172
+$EndComp
173
+$Comp
174
+L GND #PWR029
175
+U 1 1 588FB4C0
176
+P 4300 4100
177
+F 0 "#PWR029" H 4300 3850 50  0001 C CNN
178
+F 1 "GND" H 4300 3950 50  0000 C CNN
179
+F 2 "" H 4300 4100 50  0000 C CNN
180
+F 3 "" H 4300 4100 50  0000 C CNN
181
+	1    4300 4100
182
+	1    0    0    -1  
183
+$EndComp
184
+$Comp
185
+L D_Zener D3
186
+U 1 1 588FB500
187
+P 4600 3950
188
+F 0 "D3" H 4600 4050 50  0000 C CNN
189
+F 1 "CZRF52C5V6" H 4600 3850 50  0000 C CNN
190
+F 2 "Diodes_SMD:D_SOD-323" H 4600 3950 50  0001 C CNN
191
+F 3 "" H 4600 3950 50  0000 C CNN
192
+	1    4600 3950
193
+	0    1    1    0   
194
+$EndComp
195
+Text HLabel 5800 3500 2    60   BiDi ~ 0
196
+SDA
197
+Text HLabel 5800 3600 2    60   Input ~ 0
198
+SCL
199
+Text HLabel 5800 3700 2    60   Output ~ 0
200
+INT_N
201
+Wire Wire Line
202
+	4800 3500 4900 3500
203
+Wire Wire Line
204
+	4100 3600 4900 3600
205
+Wire Wire Line
206
+	4100 3700 4900 3700
207
+Wire Wire Line
208
+	4300 3800 4300 3700
209
+Connection ~ 4300 3700
210
+Wire Wire Line
211
+	4600 3800 4600 3600
212
+Connection ~ 4600 3600
213
+Wire Wire Line
214
+	5800 3700 5700 3700
215
+Wire Wire Line
216
+	5700 3600 5800 3600
217
+Wire Wire Line
218
+	5800 3500 5700 3500
219
+$Comp
220
+L +3.3V #PWR030
221
+U 1 1 5892A15C
222
+P 6800 3200
223
+F 0 "#PWR030" H 6800 3050 50  0001 C CNN
224
+F 1 "+3.3V" H 6800 3340 50  0000 C CNN
225
+F 2 "" H 6800 3200 50  0000 C CNN
226
+F 3 "" H 6800 3200 50  0000 C CNN
227
+	1    6800 3200
228
+	1    0    0    -1  
229
+$EndComp
230
+$Comp
231
+L GND #PWR031
232
+U 1 1 5892A162
233
+P 6800 3900
234
+F 0 "#PWR031" H 6800 3650 50  0001 C CNN
235
+F 1 "GND" H 6800 3750 50  0000 C CNN
236
+F 2 "" H 6800 3900 50  0000 C CNN
237
+F 3 "" H 6800 3900 50  0000 C CNN
238
+	1    6800 3900
239
+	1    0    0    -1  
240
+$EndComp
241
+$Comp
242
+L C C11
243
+U 1 1 5892A168
244
+P 6800 3550
245
+F 0 "C11" H 6825 3650 50  0000 L CNN
246
+F 1 "0.1μF" H 6825 3450 50  0000 L CNN
247
+F 2 "Capacitors_SMD:C_0805" H 6838 3400 50  0001 C CNN
248
+F 3 "" H 6800 3550 50  0000 C CNN
249
+	1    6800 3550
250
+	1    0    0    -1  
251
+$EndComp
252
+Wire Wire Line
253
+	6800 3200 6800 3400
254
+Wire Wire Line
255
+	6800 3700 6800 3900
256
+Wire Wire Line
257
+	6800 3800 7200 3800
258
+Wire Wire Line
259
+	7200 3800 7200 3700
260
+Connection ~ 6800 3800
261
+Wire Wire Line
262
+	7200 3400 7200 3300
263
+Wire Wire Line
264
+	7200 3300 6800 3300
265
+Connection ~ 6800 3300
266
+$Comp
267
+L C C12
268
+U 1 1 5892A19A
269
+P 7200 3550
270
+F 0 "C12" H 7225 3650 50  0000 L CNN
271
+F 1 "1μF" H 7225 3450 50  0000 L CNN
272
+F 2 "Capacitors_SMD:C_0805" H 7238 3400 50  0001 C CNN
273
+F 3 "" H 7200 3550 50  0000 C CNN
274
+	1    7200 3550
275
+	1    0    0    -1  
276
+$EndComp
277
+NoConn ~ 5400 3100
278
+$EndSCHEMATC

+ 240
- 0
usb_type-c.sch View File

@@ -0,0 +1,240 @@
1
+EESchema Schematic File Version 2
2
+LIBS:power
3
+LIBS:device
4
+LIBS:transistors
5
+LIBS:conn
6
+LIBS:linear
7
+LIBS:regul
8
+LIBS:74xx
9
+LIBS:cmos4000
10
+LIBS:adc-dac
11
+LIBS:memory
12
+LIBS:xilinx
13
+LIBS:microcontrollers
14
+LIBS:dsp
15
+LIBS:microchip
16
+LIBS:analog_switches
17
+LIBS:motorola
18
+LIBS:texas
19
+LIBS:intel
20
+LIBS:audio
21
+LIBS:interface
22
+LIBS:digital-audio
23
+LIBS:philips
24
+LIBS:display
25
+LIBS:cypress
26
+LIBS:siliconi
27
+LIBS:opto
28
+LIBS:atmel
29
+LIBS:contrib
30
+LIBS:valves
31
+LIBS:74xgxx
32
+LIBS:ac-dc
33
+LIBS:actel
34
+LIBS:allegro
35
+LIBS:Altera
36
+LIBS:analog_devices
37
+LIBS:battery_management
38
+LIBS:bbd
39
+LIBS:brooktre
40
+LIBS:cmos_ieee
41
+LIBS:dc-dc
42
+LIBS:diode
43
+LIBS:elec-unifil
44
+LIBS:ESD_Protection
45
+LIBS:ftdi
46
+LIBS:gennum
47
+LIBS:graphic
48
+LIBS:hc11
49
+LIBS:ir
50
+LIBS:Lattice
51
+LIBS:logo
52
+LIBS:maxim
53
+LIBS:mechanical
54
+LIBS:microchip_dspic33dsc
55
+LIBS:microchip_pic10mcu
56
+LIBS:microchip_pic12mcu
57
+LIBS:microchip_pic16mcu
58
+LIBS:microchip_pic18mcu
59
+LIBS:microchip_pic32mcu
60
+LIBS:motor_drivers
61
+LIBS:motors
62
+LIBS:msp430
63
+LIBS:nordicsemi
64
+LIBS:nxp_armmcu
65
+LIBS:onsemi
66
+LIBS:Oscillators
67
+LIBS:powerint
68
+LIBS:Power_Management
69
+LIBS:pspice
70
+LIBS:references
71
+LIBS:relays
72
+LIBS:rfcom
73
+LIBS:sensors
74
+LIBS:silabs
75
+LIBS:stm32
76
+LIBS:stm8
77
+LIBS:supertex
78
+LIBS:switches
79
+LIBS:transf
80
+LIBS:ttl_ieee
81
+LIBS:video
82
+LIBS:wiznet
83
+LIBS:Worldsemi
84
+LIBS:Xicor
85
+LIBS:zetex
86
+LIBS:Zilog
87
+LIBS:pd-buddy
88
+LIBS:pd-buddy-cache
89
+EELAYER 25 0
90
+EELAYER END
91
+$Descr A4 11693 8268
92
+encoding utf-8
93
+Sheet 3 6
94
+Title "PD Buddy"
95
+Date ""
96
+Rev "0.1"
97
+Comp ""
98
+Comment1 ""
99
+Comment2 ""
100
+Comment3 ""
101
+Comment4 ""
102
+$EndDescr
103
+$Comp
104
+L 12401548E4#2A P1
105
+U 1 1 588FA6A2
106
+P 4100 2350
107
+AR Path="/588FA6A2" Ref="P1"  Part="1" 
108
+AR Path="/588FA5F7/588FA6A2" Ref="P1"  Part="1" 
109
+F 0 "P1" H 4400 1600 60  0000 C CNN
110
+F 1 "12401548E4#2A" H 4100 3000 60  0000 C CNN
111
+F 2 "pd-buddy:Amphenol-12401548E4#2A" H 4100 2350 60  0001 C CNN
112
+F 3 "https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401548E4%7e2A" H 4400 1600 60  0001 C CNN
113
+	1    4100 2350
114
+	1    0    0    -1  
115
+$EndComp
116
+NoConn ~ 4700 1950
117
+NoConn ~ 4700 2050
118
+NoConn ~ 3500 1950
119
+NoConn ~ 3500 2050
120
+NoConn ~ 3500 2250
121
+NoConn ~ 4700 2550
122
+NoConn ~ 4700 2750
123
+NoConn ~ 4700 2850
124
+NoConn ~ 3500 2850
125
+NoConn ~ 3500 2750
126
+Wire Wire Line
127
+	4700 1850 4800 1850
128
+Wire Wire Line
129
+	4800 1850 4800 3250
130
+Wire Wire Line
131
+	4800 3250 3400 3250
132
+Wire Wire Line
133
+	4700 2950 4800 2950
134
+Connection ~ 4800 2950
135
+Wire Wire Line
136
+	3400 3250 3400 1850
137
+Wire Wire Line
138
+	3400 2950 3500 2950
139
+Connection ~ 4100 3250
140
+Wire Wire Line
141
+	3400 1850 3500 1850
142
+Connection ~ 3400 2950
143
+$Comp
144
+L GND #PWR032
145
+U 1 1 588FA6DC
146
+P 4100 3250
147
+F 0 "#PWR032" H 4100 3000 50  0001 C CNN
148
+F 1 "GND" H 4100 3100 50  0000 C CNN
149
+F 2 "" H 4100 3250 50  0000 C CNN
150
+F 3 "" H 4100 3250 50  0000 C CNN
151
+	1    4100 3250
152
+	1    0    0    -1  
153
+$EndComp
154
+Wire Wire Line
155
+	4700 2150 4900 2150
156
+Wire Wire Line
157
+	4900 1550 4900 2650
158
+Wire Wire Line
159
+	4900 2650 4700 2650
160
+Wire Wire Line
161
+	3300 2650 3500 2650
162
+Wire Wire Line
163
+	3300 1550 3300 2650
164
+Wire Wire Line
165
+	3300 2150 3500 2150
166
+Wire Wire Line
167
+	3300 1550 4900 1550
168
+Connection ~ 3300 2150
169
+Connection ~ 4900 2150
170
+$Comp
171
+L VBUS #PWR033
172
+U 1 1 588FA72C
173
+P 4100 1450
174
+F 0 "#PWR033" H 4100 1300 50  0001 C CNN
175
+F 1 "VBUS" H 4100 1590 50  0000 C CNN
176
+F 2 "" H 4100 1450 50  0000 C CNN
177
+F 3 "" H 4100 1450 50  0000 C CNN
178
+	1    4100 1450
179
+	1    0    0    -1  
180
+$EndComp
181
+Wire Wire Line
182
+	4100 1450 4100 1550
183
+Connection ~ 4100 1550
184
+Wire Wire Line
185
+	4700 2250 5200 2250
186
+Text HLabel 5200 2250 2    60   BiDi ~ 0
187
+CC1
188
+Text HLabel 3000 2550 0    60   BiDi ~ 0
189
+CC2
190
+Wire Wire Line
191
+	3000 2550 3500 2550
192
+Wire Wire Line
193
+	3500 2450 3200 2450
194
+Wire Wire Line
195
+	3200 2450 3200 3500
196
+Wire Wire Line
197
+	3200 3500 5000 3500
198
+Wire Wire Line
199
+	5000 3500 5000 2350
200
+Wire Wire Line
201
+	4700 2350 5200 2350
202
+Connection ~ 5000 2350
203
+Wire Wire Line
204
+	3500 2350 3100 2350
205
+Wire Wire Line
206
+	3100 2350 3100 3600
207
+Wire Wire Line
208
+	3100 3600 5100 3600
209
+Wire Wire Line
210
+	5100 3600 5100 2450
211
+Wire Wire Line
212
+	4700 2450 5200 2450
213
+Connection ~ 5100 2450
214
+Text HLabel 5200 2350 2    60   BiDi ~ 0
215
+D+
216
+Text HLabel 5200 2450 2    60   BiDi ~ 0
217
+D-
218
+$Comp
219
+L PWR_FLAG #FLG034
220
+U 1 1 588FBE9F
221
+P 4800 3250
222
+F 0 "#FLG034" H 4800 3345 50  0001 C CNN
223
+F 1 "PWR_FLAG" H 4800 3430 50  0000 C CNN
224
+F 2 "" H 4800 3250 50  0000 C CNN
225
+F 3 "" H 4800 3250 50  0000 C CNN
226
+	1    4800 3250
227
+	-1   0    0    1   
228
+$EndComp
229
+$Comp
230
+L PWR_FLAG #FLG035
231
+U 1 1 588FBEB8
232
+P 4900 1550
233
+F 0 "#FLG035" H 4900 1645 50  0001 C CNN
234
+F 1 "PWR_FLAG" H 4900 1730 50  0000 C CNN
235
+F 2 "" H 4900 1550 50  0000 C CNN
236
+F 3 "" H 4900 1550 50  0000 C CNN
237
+	1    4900 1550
238
+	1    0    0    -1  
239
+$EndComp
240
+$EndSCHEMATC

+ 186
- 0
voltage_regulator.sch View File

@@ -0,0 +1,186 @@
1
+EESchema Schematic File Version 2
2
+LIBS:power
3
+LIBS:device
4
+LIBS:transistors
5
+LIBS:conn
6
+LIBS:linear
7
+LIBS:regul
8
+LIBS:74xx
9
+LIBS:cmos4000
10
+LIBS:adc-dac
11
+LIBS:memory
12
+LIBS:xilinx
13
+LIBS:microcontrollers
14
+LIBS:dsp
15
+LIBS:microchip
16
+LIBS:analog_switches
17
+LIBS:motorola
18
+LIBS:texas
19
+LIBS:intel
20
+LIBS:audio
21
+LIBS:interface
22
+LIBS:digital-audio
23
+LIBS:philips
24
+LIBS:display
25
+LIBS:cypress
26
+LIBS:siliconi
27
+LIBS:opto
28
+LIBS:atmel
29
+LIBS:contrib
30
+LIBS:valves
31
+LIBS:74xgxx
32
+LIBS:ac-dc
33
+LIBS:actel
34
+LIBS:allegro
35
+LIBS:Altera
36
+LIBS:analog_devices
37
+LIBS:battery_management
38
+LIBS:bbd
39
+LIBS:brooktre
40
+LIBS:cmos_ieee
41
+LIBS:dc-dc
42
+LIBS:diode
43
+LIBS:elec-unifil
44
+LIBS:ESD_Protection
45
+LIBS:ftdi
46
+LIBS:gennum
47
+LIBS:graphic
48
+LIBS:hc11
49
+LIBS:ir
50
+LIBS:Lattice
51
+LIBS:logo
52
+LIBS:maxim
53
+LIBS:mechanical
54
+LIBS:microchip_dspic33dsc
55
+LIBS:microchip_pic10mcu
56
+LIBS:microchip_pic12mcu
57
+LIBS:microchip_pic16mcu
58
+LIBS:microchip_pic18mcu
59
+LIBS:microchip_pic32mcu
60
+LIBS:motor_drivers
61
+LIBS:motors
62
+LIBS:msp430
63
+LIBS:nordicsemi
64
+LIBS:nxp_armmcu
65
+LIBS:onsemi
66
+LIBS:Oscillators
67
+LIBS:powerint
68
+LIBS:Power_Management
69
+LIBS:pspice
70
+LIBS:references
71
+LIBS:relays
72
+LIBS:rfcom
73
+LIBS:sensors
74
+LIBS:silabs
75
+LIBS:stm32
76
+LIBS:stm8
77
+LIBS:supertex
78
+LIBS:switches
79
+LIBS:transf
80
+LIBS:ttl_ieee
81
+LIBS:video
82
+LIBS:wiznet
83
+LIBS:Worldsemi
84
+LIBS:Xicor
85
+LIBS:zetex
86
+LIBS:Zilog
87
+LIBS:pd-buddy
88
+LIBS:pd-buddy-cache
89
+EELAYER 25 0
90
+EELAYER END
91
+$Descr A4 11693 8268
92
+encoding utf-8
93
+Sheet 2 6
94
+Title "PD Buddy"
95
+Date ""
96
+Rev "0.1"
97
+Comp ""
98
+Comment1 ""
99
+Comment2 ""
100
+Comment3 ""
101
+Comment4 ""
102
+$EndDescr
103
+Wire Wire Line
104
+	5450 3500 5450 3600
105
+Connection ~ 6050 4000
106
+Wire Wire Line
107
+	5450 3900 5450 4000
108
+Wire Wire Line
109
+	5450 4000 6650 4000
110
+Wire Wire Line
111
+	6650 4000 6650 3900
112
+Wire Wire Line
113
+	6650 3500 6650 3600
114
+$Comp
115
+L C C2
116
+U 1 1 588FA3E5
117
+P 6650 3750
118
+F 0 "C2" H 6675 3850 50  0000 L CNN
119
+F 1 "2.2μF" H 6675 3650 50  0000 L CNN
120
+F 2 "Capacitors_SMD:C_0805" H 6688 3600 50  0001 C CNN
121
+F 3 "" H 6650 3750 50  0000 C CNN
122
+	1    6650 3750
123
+	1    0    0    -1  
124
+$EndComp
125
+$Comp
126
+L C C1
127
+U 1 1 588FA3EC
128
+P 5450 3750
129
+F 0 "C1" H 5475 3850 50  0000 L CNN
130
+F 1 "1.0μF" H 5475 3650 50  0000 L CNN
131
+F 2 "Capacitors_SMD:C_0805" H 5488 3600 50  0001 C CNN
132
+F 3 "" H 5450 3750 50  0000 C CNN
133
+	1    5450 3750
134
+	1    0    0    -1  
135
+$EndComp
136
+Wire Wire Line
137
+	6050 3800 6050 4000
138
+Wire Wire Line
139
+	6450 3500 6650 3500
140
+Wire Wire Line
141
+	5450 3500 5650 3500
142
+$Comp
143
+L GND #PWR03
144
+U 1 1 588FA3F6
145
+P 6050 4000
146
+F 0 "#PWR03" H 6050 3750 50  0001 C CNN
147
+F 1 "GND" H 6050 3850 50  0000 C CNN
148
+F 2 "" H 6050 4000 50  0000 C CNN
149
+F 3 "" H 6050 4000 50  0000 C CNN
150
+	1    6050 4000
151
+	1    0    0    -1  
152
+$EndComp
153
+$Comp
154
+L +3.3V #PWR04
155
+U 1 1 588FA3FC
156
+P 6650 3500
157
+F 0 "#PWR04" H 6650 3350 50  0001 C CNN
158
+F 1 "+3.3V" H 6650 3640 50  0000 C CNN
159
+F 2 "" H 6650 3500 50  0000 C CNN
160
+F 3 "" H 6650 3500 50  0000 C CNN
161
+	1    6650 3500
162
+	1    0    0    -1  
163
+$EndComp
164
+$Comp
165
+L VBUS #PWR05
166
+U 1 1 588FA402
167
+P 5450 3500
168
+F 0 "#PWR05" H 5450 3350 50  0001 C CNN
169
+F 1 "VBUS" H 5450 3640 50  0000 C CNN
170
+F 2 "" H 5450 3500 50  0000 C CNN
171
+F 3 "" H 5450 3500 50  0000 C CNN
172
+	1    5450 3500
173
+	1    0    0    -1  
174
+$EndComp
175
+$Comp
176
+L AP2204R-3.3TRG1 U1
177
+U 1 1 588FA408
178
+P 6050 3500
179
+F 0 "U1" H 6250 3200 60  0000 C CNN
180
+F 1 "AP2204R-3.3TRG1" H 6050 3700 60  0000 C CNN
181
+F 2 "TO_SOT_Packages_SMD:SOT89-3_Housing" H 6150 3100 60  0001 C CNN
182
+F 3 "http://www.diodes.com/_files/datasheets/AP2204.pdf" H 6250 3200 60  0001 C CNN
183
+	1    6050 3500
184
+	1    0    0    -1  
185
+$EndComp
186
+$EndSCHEMATC

Loading…
Cancel
Save